Etchants for Layers

(100) GaSb Surface State After Electrochemical Treatment in Sulphur Compounds Solutions
(AlGaIn)(AsSb) - Dry and Wet Etching
3C-SiC(100 Layer - Dry Etching
4H-SiC - Dry Etching
4H-SiC - Wet Etching
A-B Etch - InP - Wet Etching - Delineation
Al Etching Recipe - Dry Etching
Al-1% Si - Dry Etching
Al-Cu, Ti, TiN - Wet Etching
Al0.22Ga0.78As - Wet Etching
Al2O3 - Dry Etching
Al2O3 Layer - Dry Etching
Al2O3 Layer - Dry and Wet Etching
Al2O3 on HfSiO and HfSiON - Wet Etching
Al2O3/TiO2 - Dry and Wet Etching
AlGaAs - Dry Etching
AlGaN/GaN Wet Etching and Oxidation
AlGaSb - Dry Etching
AlGaSb - Wet Etching
AlInN - Wet Etching
AlN - Dry Etching
AlN - Wet Etching
AlN Layer - Dry Etching
AlN, AlxGa1-xN, and GaN - Wet Etching
AlSb/InAs HEMTs on InP - Dry and Wet Etching
AlTiC - Dry Etching
AlTiC - Dry Etching
Alpha-C Layer - Dry Etching
Aluminium - Dry Etching
Aluminium - Wet Etching
Aluminium Layer - Dry Etching
Aluminium Process Recipe - Dry Etching
Aluminum Etchant - Wet Etching
Aluminum Etchants - Wet Etching
Aluminum-Doped Zinc Oxide (AZO) - Dry Etching
AlxGa(1-x)P - Dry Etching
Anisotropic Etching of GaN - Dry Etching
Antimony Etchants - Wet Etching
Aqua Regia - Wet Etching
Au Layer - Dry Etching
Au Layer - Dry Etching
BN, SiBN - Dry Etching
BaO-B2O3-ZnO Glass - Wet Etching
BaSnO3 - Wet Etching
Benzocyclobutene (BCB) - Dry Etching
Beta-Ga2O3 - Dry Etching
Beta-Ga2O3 - Dry and Wet Etching
Bi2Se3 - Dry Etching
Bi2Te3 and Sb2Te3 - Wet Etching
Bismuth Etchant - Wet Etching
Brass - Wet Etching
Buffered Oxide Etchant - Wet Etching
C Layer - Dry Etching
CYCLOTENE - Dry Etching
Cadmium Sulfide Etchant (CdS) - Wet Etching
Cadmium Telluride (CdTe) - Wet Etching
Carbon - Dry Etching
Carbon Doped Oxide (CDO) - Dry Etching
Carbon-Doped Oxide (CDO) - Dry Etching
CdS - Wet Etching
CdTe - Wet Etching
CdTe Layer - Wet Etching
CdTe/CZT - Wet Etching
CdTe/CdS - Wet Etching
CdTe/InSb - Wet Etching
Characteristics of (100) GaSb Surface After Chemical Passivation - Passivation
Characteristics of Electrolytes and Process Parameters Applied for Electrochemical Passivation of GaSb-Based Materials
Chemical Etchants for AlSb - Wet Etching
Chemical Etchants for GaSb - Wet Etching
Chemical Etchants for InSb - Wet Etching
Chrome - Dry Etching
Chrome Etch No 1 - Wet Etching
Chrome Etching - Dry Etching
Chrome and AZ1518 Resist - Wet Etching
Chromium Etchant - Wet Etching
Chromium/Nichrome Etchant - Wet Etching
CoSi2 - Wet Etching
CoSi2 Contacts - Wet Etching
Cobalt - Wet Etching
Cobalt Silicide - Dry Etching
Collected Data for ECR Etching of HgCdTe
Collected Data for ECR Etching of HgCdTe - Dry Etching
Collected Data for ECR Etching of HgCdTe - Dry Etching
Columbium - Wet Etching
Common Etchant Used in Micromachining and Their Etch Rates - Dry and Wet Etching
Comparison of Some Aqueous and Non-Aqueous Gold Etchants - Wet Etching
Comparison of Traditional Negative and Positive Photoresists
Copper (1) Oxide (Cu2O) - Wet Etching
Copper - Dry Etching
Copper - Wet Etching
Copper Layer - Dry Etching
Copper Layer - Dry Etching
Copper Oxide - Wet Etching
Copper and NiCr Resistive Material Removal Chemistry and Processing Parameters - Wet Etching
Cr - Wet Etching
Cr Layer - Dry Etching
Cr Mask on Si - Dry Etching
Cr2O3, Cr - Dry Etching
Cu Layer - Dry Etching
Cu Layer - Wet Etching
Cu2O - Wet Etching
CuInS2 - Wet Etching
CuInS2, In2S3, Glass, Cu - Etch Rates
CuO, Cu2O - Wet Etching
Deep Etched DBR Gratings in InP - Dry Etching
Dense Amorphous Carbon (DAC) - Dry Etching
Diamond - Deposition
Diamond Etch Recipe - Dry Etching
Dilute Hydrofluoric Acid Etchant - Wet Etching
Dry Etching of Chromium - Dry Etching
Dry Etching of Cr Hard Mask
Effect of Temperature on Dry Etching of GaAs/AlGaAs - Dry Etching
Electrochemical Etching of AlGaN
Etch Induced Damage for Different Processes (HgCdTe)
Etch Induced Damage for Different Processes for HgCdTe
Etch Rates as a Function of the Ge Content - Wet Etching
Etch Rates of the Different Buffer Etch Processes (SiO2, TaN) - Dry Etching
Etch of Amorphous Si (a Si) on Kapton - Dry Etching
Etchants-Spacer and Microstructural Layer
Etching Characteristics of (100) GaSb - Wet Etching
Etching Recipe in Anelva for Ridge Waveguide (SiO2) - Dry Etching
Etching of Cu2O - Wet Etching
Fe Layer - Wet Etching
FeO and Chrome Mask - Wet Etching
Freckle Etch - Wet Etching
Ga2O3 Layer - Wet Etching
Ga2O3 Layer - Wet Etching
GaAs - MacEtch - Wet Etching
GaAs /Al0.15Ga0.85As - Dry Etching
GaAs Over InGaP - Wet Etching
GaAs and AlAs - Wet Etching
GaAs on Al0.2Ga0.8As - Wet Etching
GaAs(1-x)Sbx, Al0.5Ga0.5Sb - Wet Etching
GaN - Dry Etching
GaN - Dry Etching
GaN - Wet Etching
GaN - Wet Etching
GaN Epitaxial Layer - Dry Etching
GaN Layer - Dry Etching
GaN Layer - Dry Etching
GaN Layer - Dry Etching
GaN Layer - Wet Etching
GaN Process Flow - Wet Etching
GaN Trench Fabrication Process - Wet Etching
GaN in the Ni, SiO2 - Dry Etching
GaN, AlN, and Al0.28Ga0.72N - Dry Etching
GaP - Wet Etching
GaP over Al0.6Ga0.4P - Dry Etching
GaSb - Dry Etching
GaSb/AlGaAsSb - Dry Etching
GaSb/GaAs - Wet Etching
Ge - Dry Etching
Ge0.89Sn0.11 Layer - Wet Etching
Ge3Nx - Wet Etching
Gold - Wet Etching
Gold - Wet Etching
Gold - Wet Etching
HDP-Oxide - Dry Etching
Hardened Photoresist, GaAs, InP, Si, SiO2, Si3N4, ITO, GaN, Al0.38Ga0.62N - Dry Etching
HfO2 - Dry Etching
HfO2 Etch in BCl3 - Dry Etching
HfO2 Layer - Wet Etching
HfO2, ZrO2, La2O3, Y2O3 - Wet Etching
HfSiON - Wet Etching
HgCdTe - Dry Etching
HgCdTe - Dry Etching
HgCdTe - Wet Etching
Highly Oriented Pyrolytic Graphite - Dry Etching
IC and MEMS/NEMS Materials, Deposition Method, and Typical Application
In0.533Ga0.47As - Wet Etching
InAs Quantum-Dot/GaAs - Dry and Wet Etching
InAs, AlSb/GaSb Layers - Wet Etching
InAs/GaSb - Wet Etching
InAs/GaSb - Dry and Wet Etching
InGaAs and InGaAsP - HBr Based Etching - Wet Etching
InGaAs and InGaAsP - Wet Etching
InGaAs and InGaAsP - Wet Etching
InGaAs and InGaAsP - Wet Etching
InGaAs, InAlAs, InP, InAs, InSb, GaSb, GaAs, Al0.2Ga0.8As - Wet Etching
InGaAs/InAlAs - Dry Etching
InGaAs/InAlAs/AlAs - Wet Etching
InGaAs/InGaAsP/InP - Dry Etching
InGaAsP on InP - Dry Etching
InGaAsSb - Dry Etching
InGaN - Wet Etching
InGaP - Wet Etching
InGaP/InGaAs PHEMTs - Wet Etching
InN/PSi/n-type Si(110) - Photo-Electrochemical Etching
InP - Dry Etching
InP - HBr Based Etching - Wet Etching
InP - HCl Based Etching - Wet Etching
InP - Wet Etching
InP Based Etching (Cl2/N2 @200 C) - Dry Etching
InP Layer - Dry Etching
InP Layer - Wet Etching
InP/InGaAsP - Dry Etching
InP/InGaAsP - Dry Etching
InP/InGaAsP - Dry Etching
InSb - Wet Etching
Indium Tin Oxide (ITO) - Wet Etching
Influence of (100) GaSb Surface Pre-Treatment on Carrier Concentration in Undoped Epilayers InGaAsSb
Iron Etchant - Wet Etching
Isotropic Etch - Aluminum - Wet Etching
Isotropic and Preferential Defect Etchants and Their Specific Applications - Wet Etching
Kapton - Wet Etching
Kovar - Wet Etching
LAM4600 Anisotropic Aluminum Etch - Dry Etching
Lead - Wet Etching
LiNbO3 - Dry Etching
LiNbO3 - Dry Etching
LiNbO3 - Dry Etching
Lucite - Wet Etching
MEMT Microfabrication - Dry Etching
Magnesium - Wet Etching
Magnesium Fluoride - Wet Etching
Masking Materials for Acidic Etchants - Wet Etching
Masking Materials for Acidic Etchants - Wet Etching
Mesoporous Ge Layer - Wet Etching
Metal Etchants - Wet Etching
Molten Flux and Other Wet Etchants for SiC
Molten Flux and Other Wet Etchants for SiC - Wet Etching
Molybdenum (Moly) - Wet Etching
Molybdenum - Dry Etching
Molybdenum SiO2 RIE Chemistry Etch Rate and Estimated ZnO Sel. - Dry Etching
Monel - Wet Etching
N-Type GaN - Wet Etching
Nakagawa Solution - CdTe - Wet Etching
Nanocrystalline Silicon SiO2 RIE Chemistry Etch Rate and Estimated ZnO Sel. - Dry Etching
Ni81Fe19 Layer - Wet Etching
NiCr Layer - Dry Etching
NiSi - Wet Etching
Nichrome - Wet Etching
Nichrome Etchant (Transene Co., Inc.) - Wet Etching
Nickel - Wet Etching
Nickel Oxides - Wet Etching
Nickel Silicide - Wet Etching
Niobium - Wet Etching
Overview of Etching Technologies Used for HgCdTe
Oxide Etch Without Etching Aluminum - Dry and Wet Etching
P-Etchant (Phospho-Silicate Glass PSG Etchant) - Wet Etching
P-Plus Etch Stop SOP - Wet Etching
PDMS - Dry Etching
PDMS Layer - Dry Etching
PDMS and AZ5214 - Dry Etching
PR (Photo Resist) w CF4 NFCF - Phantom III RIE - Dry Etching
PS-b-PMMA - Dry Etching
Pad Etchant - Wet Etching
Parameters of Au/n-GaSb Schottky Diodes - Wet Etching
Parylene C - Dry Etching
Parylene Type C - Dry Etching
Pb(1-x)EuxT - Dry Etching
PbSe - Electrolytic Etching
Picein Wax - Wet Etching
Platinum - Dry Etching
Platinum - Wet Etching
Poly-Silicon - Dry Etching
Polydimethylsiloxane (PDMS) - Dry Etching
Polymer Deposition Rate as Function of the rf Power - Deposition
Polymethylmethacrylate (PMMA) - Dry Etching
Porous Silicon Layers - Wet Etching
Porous ZnO Films - Wet Etching
Principal Characteristics of Four Different Anisotropic Etchants - Wet Etching
Process Details for RIE of HgCdTe in RF Parallel Plate Reactor
Process Details for RIE of HgCdTe in RF Parallel Plate Reactor - Dry Etching
Process Parameters Used During the Si3N4 RIE Process - Dry Etching
Process Parameters Used During the SiO2 RIE Process - Dry Etching
Properties of (100) Al0.34Ga0.66As0.125Sb0.975 Surface Layer After Electrochemical Treatment
Properties of (100) In0.23Ga0.77As0.18Sb0.82 Surface Layer After Electrochemical Treatment
Pt Thin Films - Wet Etching
Pt, TaN, RuO2, Ru, W - Wet Etching
Pt2Si - Wet Etching
Quartz - Dry Etching
Quartz Wet Etching
RSE-1 - Powerful PR/Residue Cleaner and Selective Ru Etch
ReS2 - Dry Etching
Reactive Ion Etch Chemistries Reported for Copper
Reactive Ion Etching (RIE) Parameters for SiO2 Etching
Reactive Ion Etching of Gold - Dry Etching
Rhodium - Wet Etching
Ru CPM - Polishing
Ru Metal - Wet Etching
RuS2 and Ru(1-x)FexS2 - Wet Etching
Ruthenium - Wet Etching
SC Diamond Films - Dry Etching
SF-11 SiO2 RIE Chemistry Etch Rate - Dry Etching
SIN4 w CF4 NFCF - Phantom III RIE - Dry Etching
SIO2 w CF4 NFCF - Phantom III RIE - Dry Etching
SIO2 w CHF3 NFCF - Phantom III RIE - Dry Etching
SOP Buffered Oxide Etch (BOE) - Wet Etching
SOP Si3N4 - Dry Etching
SOP for Aluminum Etch - Wet Etching
SOP for Aluminum Nitride Etch - Wet Etching
SOP for Buffered Oxide Etch - Wet Etching
SOP for Chromium Etch - Wet Etching
SOP for GaSb Cleaning Using HF/Nitric/Acetic Acid and Nitric Acid/Hydrochloric Acid
SOP for Iron Oxide Masks - Wet Etching
SOP for Silicon Dioxide Etch using Buffered Hydrofluoric Acid - Wet Etching
SOP for Silver Etch - Wet Etching
SOT23 - Dry Etching
SU-8 - Dry Etching
SU-8 Photoresist - Dry Etching
Sapphire Etching - Dry Etching
ScAlN Layer - Dry Etching
Schematic Illustration of ALD and ALE
Si Etching with a SiO2 Mask - Dry Etching
Si Layer - Wet Etching
Si(1-x)Gex - Dry Etching
Si-Doped (100) InP Substrate - Dry Etching
Si0.5Ge0.5 - Dry Etching
Si3N4 - Dry Etching
Si3N4 - Dry Etching
Si3N4 - Dry Etching
Si3N4 - RIE - Dry Etching
Si3N4 Layer - Dry Etching
Si3N4 Selective Etch - Dry Etching
Si3N4 and SiO2 - Wet Etching
Si3N4, SiO2 - Dry Etching
Si3N4, SiO2 Etch Process - Dry Etching
Si3N4, SiO2 and Si Layers - Dry Etching
SiC Etching - Dry Etching
SiC STS RIE Etch - Dry Etching
SiNx - Deposition
SiNx - Dry Etching
SiO2 - Deposition
SiO2 - Dry Etching
SiO2 - Dry Etching
SiO2 - Dry Etching
SiO2 - Wet Etching
SiO2 Layer - Dry Etching
SiO2 Layer - Wet Etching
SiO2 Wet Etching
SiO2 on LiNbO3 - Dry Etching
SiO2, Poly-Si - Dry Etching
SiO2, Si3N4 Layer - Cleaning
SiO2, Si3N4, Poly Si, Al - Dry Etching
Silicon Dioxide (SiO2) - Dry Etching
Silicon Dioxide Etchant (Buffered HF) - Wet Etching
Silicon Dioxide Etching - Dry Etching
Silicon Dioxide Etching SOP - Wet Etching
Silicon Nitridation - Deposition
Silicon Nitride - Dry Etching
Silicon Nitride - Dry Etching
Silicon Nitride - Dry Etching
Silicon Nitride - Dry Etching
Silicon Nitride Layer - Dry Etching
Silicon Nitride and Silicon Dioxide Etching - Wet Etching
Silicon Oxide Etch Process SOE - Wet Etching
Silicon Sacrificial Layer Dry Etching (SSLDE) - Dry Etching
Silicon Sacrificial Layer Dry Etching (SSLDE) - Dry Etching
Silicon SiO2 RIE Chemistry Etch Rate - Dry Etching
Silicone Elastomer Polydimethylsiloxane (PDMS) - Dry Etching
Silver - Dry Etching
Silver - Wet Etching
Soft Resist Mask on Si - Dry Etching
Spin-On Carbon (SOC) - Dry Etching
Stainless Steel - Wet Etching
Standard BOE Etchants - Wet Etching
Standard Etch Recipe for SiO - Dry Etching
Standard Process Conditions for the Polymer Sputter Experiments
Summary of Plasma Etch Chemistries Teported for CoFeB, Pt, and Pd
Summary of Reported Atomic Layer Etching (ALE) of Materials
Surface Roughness When Etching SiO2 in Fluorine Type Plasma - Dry Etching
TEOS and PSG - Wet Etching
TOPAS - Dry Etching
TOPAS - Dry Etching
TU7 Resist and Chrome - Dry Etching
Ta2O5 - Deposition
Ta2O5 - Dry Etching
Ta2O5 - Dry Etching
Ta2O5 layer - Wet Etching
TaSi2 Recipe - Dry Etching
Tantalum - Wet Etching
Tantalum SiO2 RIE Chemistry Etch Rate and Estimated ZnO Sel. - Dry Etching
TeO2 - Dry Etching
TeO2 - Dry Etching
Tellurium Dioxide (TeO2) - Dry Etching
The Influence of Ar+ Sputter Etching on (100) GaSb Surface State - Wet Etching
The Influence of Chemical Etching on Optical Properties of (100) GaSb - Wet Etching
Thick Gold Films - Dry Etching
TiN - Dry Etching
TiN Metal Hardmask - Wet Etching
TiW - Wet Etching
TiW, Ti, Cr - Dry Etching
Tin - Wet Etching
Titanium - Wet Etching
Titanium Silicide (TiSi2) - Dry Etching
Titanium Tungsten SiO2 RIE Chemistry Etch Rate and Estimated ZnO Sel. - Dry Etching
Tungesten - Dry Etching
Tungsten - Wet Etching
Tungsten SiO2 RIE Chemistry Etch Rate and Estimated ZnO Sel. - Dry Etching
Turpentine - Wet Etching
V2AlC - Dry and Wet Etching
Vanadium - Wet Etching
WSix - Dry Etching
Westinghouse Etchant (Si Polish Etch) - Wet Etching
Wet Etching Processes for HgCdTe
Wet Etching Processes for HgCdTe - Wet Etching
Wet Etching of Silicon Dioxide
XPS Argon Sputter Etch Rates of SiO2 - Dry Etching
Y2O3 - Dry Etching
Y2O3 and YF3 - Dry Etching
Yttrium Sacrificial Layer - Dry and Wet Etching
ZEP-520A SiO2 RIE Chemistry Etch Rate - Dry Etching
Zinc - Wet Etching
Zinc Oxide SiO2 RIE Chemistry Etch Rate - Dry Etching
Zirconium - Wet Etching
ZnO - Wet Etching
ZnSe Grown on InP (100) - Wet Etching
ZnSe Grown on InP (100) - Wet Etching
ZnTe - Wet Etching
ZnTe - Wet Etching
ZnTe/ZnTe:Cu/Ni - Wet Etching
Zr Metal - Wet Etching
ZrO2 - Dry Etching
n-GaN - Dry Etching
n-GaSb - Wet Etching

Copyright © 2020 by Steel Data. All Rights Reserved.