Alphabetical Index
Browse by Elements
Keyword Search
Dry Etchants
Dry and Wet Etchants
Wet Etchants
Bulk Etchants
Layer Etchants
Nano Etchants
Single Crystal Etchants
Thin Film Etchants
Thin Foil Etchants
Wafer Etchants
Al Etchants
Cd Etchants
Ga Etchants
Ge Etchants
In Etchants
New Etchants
Other Etchants
Si Etchants
Zn Etchants
Help
Home
Semiconductor Acronyms
AES: Auger emission spectroscopy; Auger electron spectroscopy
AFM: atomic force microscopy
AHU: air handling unit
Al: Aluminum
ALCVD: atomic layer chemical vapor deposition
ALD: atomic layer deposition
ALE: atomic layer epitaxy; application logic element
ANSI: American National StandardsInstitute
APCVD: atmospheric pressure chemicalvapor deposition
aPSM or APSM: attenuating phase shiftmask
Ar: argon
AR: aspect ratio
ARC: antireflective coating
ArF: Argon Fluoride (excimer far UV laser emitting at 193 nm wavelength)
As: arsenic
ASIC: application-specific integrated circuit
ASTM: American Society for Testing and Materials
ATE: automatic test equipment
Au: gold
B: billion; boron
BARC: backside antireflective coating
BED: Boron Enhanced Diffusion
BEOL: back end-of-line
BGA: ball grid array
BiCMOS: bipolar complementary metaloxide semiconductor
BILLI: buried implanted layer for lateral isolation
BIST: built-in self test
BLD: beam lead device
BOE: buffered oxide etchant
BOX: buried oxide
BPSG: boro phosphosilicate
BST: barium strontium titanate
BTAB: bumped tape automated bonding BV: breakdown voltage
C-to-C: cassette-to-cassette
CAIBE: chemically assisted ion beam etching
CARL: chemically amplified resist lithography
CAWC: cryogenic aerosol wafer cleaning
CBE: chemical beam epitaxy
CCD: charge-coupled device
CD: critical dimension
CDA: clean dry air
CDI: collector-diffusion isolation
CDO: controlled decomposition/oxidation
CDSEM: critical dimension scanning electron microscopy
CEL: Contrast Enhancement Layer
CFC: chlorofluorocarbon
CFM: contamination-free manufacturing
CGA: Compressed Gas Association
CIC: cleanroom interface chamber
CMOS: complementary metal-oxide semiconductor
CMP: chemical mechanical planarization; chemical mechanical polishing
CNT: carbon nanotube
CoO: cost of ownership
COPS: crystal oriented pits
CRM: cost/resource model
CSP: chip-scale package
CTE: coefficient of thermal expansion
Cu: copper
CV: capacitance-to-voltage
CV-BTS: capacitance-to-voltage biased thermal stress
CVD: chemical vapor deposition
CZ: Czochralski process
DARPA: Defense Advanced Research Projects Agency (see ARPA)
DCS: dichlorosilane
DF: darkfield
DHF: dilute hydrofluoric acid
DI: deionized; dielectric isolation
DIP: dual inline package
DIW: deionized water
DLBI: device level burn-in
DLT: device level test
DLTS: deep level transient spectroscopy
DOE: design of experiments
DOF: depth of field; depth of focus
DOE: design of experiments
DRAM: dynamic random access memory
DRIE: deep reactive ion etching
DSWB: direct step-on-wafer
DUT: device under test
DUV: deep ultraviolet
E-beam: electron beam
EBIC: electron beam-induced current
EBL: electron beam lithography
ECC: error control coding
ECO: engineering change order; edge control only
ECP: electrochemical plating
ECR: electron cyclotron resonance
EDA: electronic design automation
EDS: energy-dispersive spectroscopy; electron-dispersive spectroscopy
EDX: energy-dispersive X ray
EFEM: equipment front end module
ELF: extremely low frequency
EM: Electro-Migration
EMF: electromagnetic field
EMI: electromagnetic interference
EMO: emergency off
EMP: electromagnetic pulse
EOS: electrical overstress
EPL: electron projection lithography
EPO: emergency power off
ESD: electrostatic discharge
EUV: extreme ultraviolet
EUVL: extreme ultraviolet lithography
F: fluorine
FBGA: fine pitch ball grid array
FC: flip chip
FE: finite element; field emission
FEOL: front end-of-line
FESEM: field emission scanning electron microscope/microscopy
F/I: final inspect
FIB: focused ion beam
FIFO: first-in, first-out
FOSB: front opening shipping box
FOUP: front opening unified pod
FOV: field of view
FOX: field oxide
FPGA: field-programmable gate array
FRACAS: Failure Reporting, Analysis, and Corrective Action System
FSG: fused silica glass; fluorinated silicate glass
FTIR: Fourier transform infrared
FWHM: full-width half-maximum
FZ: float zone
GCMS: gas chromatography mass spectroscopy
GSI: giga-scale integration
HARI: high aspect ratio inspection
HAZCOM: Hazard Communication Standard
HBT: heterojunction bipolar transistor
HCI: hot carrier injection
HCM: hollow cathode magnetron
HDP: high density plasma
HDP-CVD: high density plasma chemical vapor deposition
HDPE: high density polyethylene
He: helium
HEMT: high electron mobility transistor
HEPA: high efficiency particulate air
HF: hydrofluoric acid
HiPOx: high-pressure oxidation
HMDS: hexamethyldisilizane
HOSP: hybrid organic siloxane polymer (low k dielectric)
HPCVD: high pressure chemical vapor deposition
HPLC: high performance liquid chromatography
HRTEM: high resolution transmission electron microscopy
HSQ: hydrogen silsesquioxane
HTO: high temperature oxidation
HVAC: heating, ventilating, and air conditioning
I300I: International 300 mm Initiative
IC: integrated circuit; Investment Council; ion chromatography
ICB-CVD: ion cluster beam chemical vapor deposition
ICP: inductively-coupled plasma
ICW: industrial city water
IDEAL: initiating, diagnosing, establishing, acting, leveraging
IDLH: immediately dangerous to life or health
IG: intrinsic gettering
IGBT: insulated-gate bipolar transistor
IGFET: insulated-gate field-effect transistor
II: ion implant (also I2)
ILD: interlevel dielectric; interlayer dielectric
IMD: intermetal dielectric
IMEC: Inter-university Micro-Electronics Centre (Leuven, Belgium)
IP: intellectual property
IPA: isopropyl alcohol
IPL: ion projection lithography
IR: infrared
ISMT: International SEMATECH
ITO: indium tin oxide
ITRS: International Technology Roadmap for Semiconductors
JEDEC: Joint Electron Device Engineering Council
JEIDA: Japanese Electronic Industries Development Association
JI: junction isolation
JIT: just-in-time
KGD: known good die
KrF: krypton fluoride (excimer uv laser emitting 248 nm wavelength)
LCC: leaded chip carrier, lifecycle costing
LCL: lower confidence limit
LDD: lightly doped drain
LDL: lower detection limit
LDP: low density plasma
LDPE: low density polyethylene
LEC: liquid encapsulated Czochralski crystal
LEED: low-energy electron diffraction
LEL: lower explosive limit
LID: leadless inverted device
LIFO: last in, first out
LIGA: Lithographie Galvanoformung Abformung
LIMS: laser-induced mass spectrometry
LKDM: low k dielectric material
LLCC: leadless chip carrier
LOCOS: local oxidation of silicon
LPCVD: low pressure chemical vapor deposition
LPE: liquid phase epitaxy
LSE: latex sphere equivalent
LSI: large-scale integration
LTO: low-temperature oxidation (or oxide)
LTV: local thickness variation
LVDT: linear voltage differential transducer; linear variable displacement transducer; linear variable differential transformer
MBE: molecular beam Epitaxy
MCBF: mean cycles between failures
MCM: multichip module; manufacturing cycle management
MEMS: microelectromechanical system
MESFET: metal-semiconductor field effect transistor
MFC: mass flow controller
MIC: monolithic integrated circuit
MIM: metal-insulator-metal
MIS: metal insulator silicon; metal insulator semiconductor
MLM: multilevel metal
MMIC: monolithic microwave integrated circuit
MOCVD: metal-organic chemical vapor deposition
MODFET: modulation-doped field-effect transistor
MOS: metal-oxide semiconductor
MOS-C: metal oxide semiconductor capacitor
MOSFET: metal-oxide semiconductor field effect transistor
MOVPE: metalorganic vapor phase epitaxy
mp: melting point
MRS: Materials Research Society
MSDS: Material Safety Data Sheet
MSI: medium-scale integration; manufacturing support item
MTBA: mean time between assists
MTBF: mean time between failures
MTTF: mean time to failure
MTTR: mean time to repair
MW: molecular weight
N: nitrogen
Na: sodium
NA: numerical aperture
NDA: nondisclosure agreement
NDT: nondestructive testing
NGL: next generation lithography
NIST: National Institute of Standards and Technology
NTRS: National Technology Roadmap for Semiconductors
O: oxygen
OAI: off-axis illumination
OBIC: optical beam induced current
OD: outside diameter; optical density
ODS: ozone-depleting substances
OEIC: optoelectronic integrated circuit
OEM: original equipment manufacturer
OFA: oil-free air
OL: objective lens, overlay
OLED: organic light emitting diode
OMVPE: organometallic vapor phase epitaxy
OPC: optical particle counter; optical proximity correction
OSF: Open Systems Foundation, oxidation-induced stacking fault
OSG: organosilicate glass
Ox: oxide
P: phosphorous
PAB: post apply bake
PAC: photoactive compound
PAG: photoacid generator
PCB: printed circuit board
PCMP: post chemical-mechanical polishing
PCW: process cooling water
PEB: post-exposure bake
PECVD: plasma-enhanced chemical vapor deposition
PEL: permissible exposure level (limit)
PFA: perfluoroalkoxy
PFC: perfluorocompound; perfluorocarbon
PFPE: perfluorinated polyether
PGA: pin grid array
PGMEA: propylene glycol monomethyl ether acetate
PI: proportional integral
PID: proportional integral derivative; process-induced defect
PIP: process-induced particles
PLCC: plastic leaded chip carrier
PM: particle monitor; preventive maintenance; process module
PMMA: polymethyl methacrylate
PMT: photomultiplier tube
POU: point-of-use
PP: polypropylene
PPE: personal protective equipment
PPGA: plastic pin grid array
PSG: phosphosilicate glass; phosphorus doped silicon glass
PSL: polystyrene latex
PSLS: polystyrene latex sphere
PSM: phase shifting mask; phase shift mask
PTC: pre- and post-process treatment chambers
PTFE: polytetrafluorethylene; Teflon
PVA: polyvinylacetate
PVC: polyvinylchloride
PVD: physical vapor deposition
PVDF: polyvinylidene fluoride
PZT: lead zirconium titanate
QBD: charge to breakdown
QCM: quartz crystal microbalance
QDR: quick dump rinse
QFP: quad flat pack
QFPN: quad flat pack nonleaded
RBB: base sheet resistance
RBS: refractive backscattering; Rutherford backscattering spectroscopy
RF: radio frequency; resonance frequency
RFI: request for information; radio frequency interference
RFP: request for plan; request for proposal; radio frequency probe
RFQ: request for quote
RGA: residual gas analysis
RH: relative humidity
RHEED: reflecting (reflected) high energy electron diffraction
RIBE: reactive ion beam etching
RIE: reactive ion etch
RO: reverse osmosis
ROI: return on investment
RS: sheet resistance
RT: room temperature
RTA: rapid thermal anneal
RTD: resistance temperature detector
RTO: rapid thermal oxidation;
regenerative thermal oxidizer RTP: rapid thermal processing (or processor)
Sb: antimony
SBIR: Small Business Innovative Research
SC1: Standard Clean 1
SC2: Standard Clean 2
SCA: surface charge analysis
SCALPEL: scattering with aperture limited projection lithography
SCBA: self-contained breathing apparatus
SCF: super critical fluid
SCFH: standard cubic feet per hour
SCP: single chip package
SCR: silicon controlled rectifier
S/D: source/drain
SECS: Semiconductor Equipment Communications Standard
SEG: selective epitaxial growth
SEM: scanning electron microscopy; specific equipment model
SEMI: Semiconductor Equipment and Materials International
SIA: Semiconductor Industry Association
SiGe: silicon-germanium
SiLK: silicon low-k
SIMOX: separation by implantation of oxygen
SIMS: secondary ion mass spectroscopy
SiON: silicon oxynitride
SLAM: scanning laser acoustic microscopy; single layer alumina metallization
SLSI: super large scale integration
SMD: surface mount device
SMIF: standard mechanical interface
SMT: surface mount technology
SO: small outline (package)
SOC: system on a chip; silicon-on-chip
SOD: spin-on dielectric
SOG: spin-on glass
SOI: silicon on insulator
SOIC: small outline integrated circuit
SOJ: small outline j-bend
SOP: small outline gull wing
SOP: standard operating procedure
SOS: silicon on sapphire
SPC: statistical process control
SPICE: simulation program with integrated circuit emphasis
SPM: scanning probe microscopy; sulfuric acid/hydrogen peroxide mixture
SRC: Semiconductor Research Corporation
SSI: small scale integration
STEL: short-term exposure limit
STI: shallow trench isolation
STM: scanning tunneling microscopy
SWP: single wafer processing
TAB: Technical Advisory Board; tape automated bonding
TBD: time to breakdown
TC: time constant; temperature coefficient; thermocouple
TCE: temperature coefficient of expansion
TDDB: time-dependent dielectric breakdown
TEM: transmission electron microscopy; transverse electromagnetic
TEOS: tetraethoxysilane; tetraethylorthosilicate; tetraethelorthosilicate; tetrethoxysilicide
TFE: tetrafluorethylene
TFSMS: thin films stress measurement system
TFT: thin film transistors
THC: total hydrocarbon
TiN: titanium nitride
TLV: threshold limit value
TLV-STEL: threshold limit value-short term exposure limit
TLV/TWA: threshold limit value/timeweighted average
TMAH: tetramethyl ammonium hydroxide
TMP: trimethylphosphate, turbomolecular pump
TOC: total organic carbon; total oxidizable carbon
TPG: test pattern generation
TSOP: thin small outline package
UCL: upper confidence limit; upper control limit
UEL: upper explosive limit
UHP: ultra-high purity
UHV: ultra-high vacuum
ULK: ultra low-k
ULPA: ultra-low particulate air
ULSI: ultra large-scale integration
UPDIW: ultra-pure deionized water
UPW: ultrapure water
USG: undoped silica glass
USOP: ultra small outline package
UV: ultraviolet
VASE: variable angle spectroscopic ellipsometry
VCSEL: vertical cavity surface emitting laser
VDP: Van der Pauw
VHSIC: very high-speed integrated circuit
VLSI: very large-scale integration
VOC: volatile organic compound
VPE: vapor phase epitaxy
VUV: vacuum ultra-violet
WDS: wavelength-dispersive spectrometry of X-rays
WIB: within-batch
WIP: work in process; work in progress
WIW: within-wafer
WLBI: wafer-level burn-in
WLT: wafer-level test
WPH: wafers per hour
WSI: wafer-scale integration
WSPW: wafer starts per week
XPS: X-ray photoelectron spectroscopy
XRD: X-ray diffraction
XRF: X-ray fluorescence spectrometry
XRL: X-ray lithography