Etchants for Bulk Samples

(AI,Ga,In)P - (Aluminium, Gallium, Indium) Phosphide - Dry Etching
(AI,Ga,In)P - (Aluminium, Gallium, Indium) Phosphide - Wet Etching
(Al, In)N - (Aluminium, Indium) Nitride - Dry Etching
(Al, In)N - (Aluminium, Indium) Nitride - Wet Etching
(Al,Ga)As - (Aluminium, Gallium) Arsenide - Dry Etching
(Al,Ga)As - (Aluminium, Gallium) Arsenide - Wet Etching
(Al,In)As - (Aluminium, Indium) Arsenide - Dry Etching
(Al,In)As - (Aluminium, Indium) Arsenide - Wet Etching
(C,H,[O,N,F,CI,Br]) - Organic Polymers - Dry Etching
(Co, Cr) - Cobalt Chromium - Dry Etching
(Co, Cr) - Cobalt Chromium - Wet Etching
(Co, Nb, Zr) - Cobalt Niobium Zirconium - Dry Etching
(Co, Nb, Zr) - Cobalt Niobium Zirconium - Wet Etching
(Fe, Ni) - Iron Nickel - Dry Etching
(Fe, Ni) - Iron Nickel - Wet Etching
(Ga,Gd)2O3 - Gallium Gadolinium Oxide - Dry Etching
(Ga,Gd)2O3 - Gallium Gadolinium Oxide - Wet Etching
(Ga,In)As - Gallium Indium Arsenid - Dry Etching
(Ga,In)As - Gallium Indium Arsenid - Wet Etching
(In, Sn) - Indium Tin - Dry Etching
(In, Sn) - Indium Tin - Wet Etching
(In,Ga)N - Indium Gallium Nitride - Dry Etching
(In,Ga)N - Indium Gallium Nitride - Wet Etching
(InxSny)O - Indium Tin Oxide (ITO) - Dry Etching
(InxSny)O - Indium Tin Oxide (ITO) - Wet Etching
(Ni, Cr) - Nickel Chromium - Dry Etching
(Ni, Cr) - Nickel Chromium - Wet Etching
60Pb-40Sn #62 Solder - Chemical Cleaning
A/B Etchant - Al2O3 Clear Fused Sapphire Blanks and (0001) Single Crystal Oriented Blanks
AB Etchant (RCA) - Glass-Thin Film Deposition and Growth - Chemical Cleaning
AI(Ti) - Aluminium with Titanium Additions - Dry Etching
AI(Ti) - Aluminium with Titanium Additions - Wet Etching
Ag Pellets in an Expoxy Matrix - Wet Etching
Ag-Silver - Dry Etching
Ag-Silver - Wet Etching
AgI Powder
Agua Regia - Ir Crystalline Specimens as Wire, Rod, Sheet - Wet Etching
Agua Regia - PdNiP, PtNiP, and PtCuP Metallic Glasses - Wet Etching
Agua Regia - Si3N4, Oxynitrides, SiO2 as Thin Films or Glass and Quartzware - Chemical Cleaning
Agua Regia - Sn (100) Single Crystal - Wet Etching
Al, Al2O3, Ag, Au, Brass, Bronze, C, Cr, Cu, GaAs, Fe, Ni, Polymers, Si, SiO2, Stainless Steels, Sn - Selective Wet Etching
Al, Be, Bi, Brass, Cd - Wet Etching
Al-Aluminium - Dry Etching
Al-Aluminium - Wet Etching
Al-Au Alloy - Wet Etching
Al-Mg Alloy - Alloy with 7% Mg
Al-Si Alloy - Wet Etching
Al0.5Ga0.5P - Aluminium Gallium Phosphide - Dry Etching
Al0.5Ga0.5P - Aluminium Gallium Phosphide - Wet Etching
Al0.5In0.5P (Aluminium, Indium) Phosphide - Dry Etching
Al0.5In0.5P (Aluminium, Indium) Phosphide - Wet Etching
Al203 as (0001) or (01T2) Sapphire Blanks - Thermal Cleaning
Al2O3 - Aluminium Oxide - Dry Etching
Al2O3 - Aluminium Oxide - Wet Etching
Al2O3 Clear Fused Sapphire Blanks and (0001) Single Crystal Oriented Blanks - Chemical Cleaning
Al2O3 Clear Fused Sapphire Blanks and (0001) Single Crystal Oriented Blanks - Solvent Degreasing
Al2O3 Clear Fused Sapphire Blanks and (0001) Single Crystal Oriented Blanks - Surface Treatment
Al2O3 Pressed Powder Substrates - Wet Etching
Al2O3 Substrate Blanks - Cleaning
Al2O3, InP, Si, SiO2, Si3N4, Ta2O5 - Wet etching Recipes
AlN - Aluminium Nitride - Dry Etching
AlN - Aluminium Nitride - Wet Etching
AlSi (5%) Spheres - Gas, Cleaning
Alpha Brass (Cu-Zn) - Dry Etching
Alpha-Plane Sapphire Substrate - Wet Etching
Aluminium - Precipitates of Beta Al8Mg5 or Mg2
Aluminium - Wet Etching
Aluminum - Wet Etching
Aluminum Antimonide (AlSb) - Wet Etching
Aluminum Antimonide (AlSb), MBE - Wet Etching
Aluminum Gallium Arsenide - Wet Etching
Aluminum Trioxide/Alumina/Sapphire - Wet Etching
Anodic Aluminum Oxide (AAO) - Dry Etching
Antimony - Wet Etching
Aqua Regia - Iridium - Wet Etching
Aqua Regia - Palladium - Wet Etching
Aqua Regia - Platinum - Wet Etching
Aqua Regia - Rhenium, Rhodium, and Ruthenium - Wet Etching
Aqua Regia - Ru Specimens - Wet Etching
As (0001) Cleaved Specimens - Chemical Polishing
As2S3, As24S38Se38 - Wet Etching
AsSG (As2O3,SiO2) - Arsenosilicate Glass - Dry Etching
AsSG (As2O3,SiO2) - Arsenosilicate Glass - Wet Etching
Au Apecimens and Thin Films - Wet Etching
Au Specimens - Oxide Removal
Au-Gold - Dry Etching
Au-Gold - Wet Etching
Au2Ga Thin Films - Ionized Gas Thinning
AuGe (13%) Alloy as Au/AuGe/Ni Evaporated Multilayered Films - Wet Etching
AuGe (13%) Alloy as Pellets, Sheets - Wet Etching
AuSn (20%) Alloy Ribbon - Wet Etching
B4Si Specimens - Wet Etching
BCB, COC, Epoxy, FEP, LCO - Dry and Wet Etching
BCl3 Recipe - Silicon - Dry Etching
BN (100) Cubic Boron Nitride - Metal, Removal
BN as Pressed Powder Test Blanks
BSG (B2O3, SiO2) - Borosilicate Glass - Dry Etching
BSG (B2O3, SiO2) - Borosilicate Glass - Wet Etching
BSG as Borosilicate Glass on Silicon - Metal Diffusion
Ba2Si2TiO2 Single Crystal - Wet Etching
Ba2TiO3 Specimens - Chemical Polishing
BeO Specimens - Wet Etching
Beta-Ga2O3 - Dry Etching
Beta-Ga2O3 - Wet Etching
Bi-Bismuth - Dry Etching
Bi-Bismuth - Wet Etching
Bismuth - Dry etching
Bismuth - Electrolytic Polishing
Bismuth - Wet Etching
Bismuth - Wet Etching
Boron Nitride (BN) - Wet Etching
Brass - Wet Etching
Brass - Wet Etching
Bronze - Wet Etching
Bronze - Wet Etching
Bulk Etching Solutions for Silicon - Wet Etching
Bulk Silicate Glass - Wet Etching
Bulk Titanium - Dry Etching
C (0001) Specimens - Molten Flux Etching
C - Amorphous Carbon - Dry Etching
C - Diamond - Dry Etching
C as Natural Graphite Specimens - Wet Etching
CR39 - Wet Etching
CaF2 Natural Fluorite Crystals - Wet Chemical Polishing and Cleaning
CaF2 Specimens - Cleaning
Carbon - Wet Etching
Carbon Specimens - Thermal, Forming
CdIn2Te4 Wafers - Chemical Polishing
CdS - Cadmium Sulfide - Dry Etching
CdS - Cadmium Sulfide - Wet Etching
CdTe - Cadmium Telluride - Dry Etching
CdTe - Cadmium Telluride - Wet Etching
CdxHg(-x)Te and HgTe - Wet Etching
Ceramic Cr-SiO2 (30%) - Wet Etching
Chromium - Wet Etching
Co2Si - Cobalt Silicide - Dry Etching
Co2Si - Cobalt Silicide - Wet Etching
Cobalt - Wet Etching
Cobalt and Cobalt Alloys - Electrolytic Polishing
Cobalt and Cobalt Alloys - Electrolytic Polishing
Copper - Chemical Polishing
Copper - Wet Etching
Corning 7740 and Schott 8330 - Wet Etching
Cr - Chromium - Dry Etching
Cr - Chromium - Wet Etching
Cr Evaporation Deposits - Wet Etching
Cross-Sectioning and Layer Delineation - Wet Etching
Crystalline Silicon - Wet Etching
Cu (111) Single Crystal Wafers - Dislocation Etching
Cu (111) Wafers - Electrolytic Polishing
Cu - Copper - Dry Etching
Cu - Copper - Wet Etching
Cu Single Crystal Spheres - Electrolytic Polishing
Cu Single Crystal Spheres - Electrolytic Polishing
Cu Single Crystal Spheres - Thermal Forming
Cu Wire and OFHC Copper Parts - Chemical Polishing/Cleaning
Cu-Au Alloys - Electrolytic Polishing and Wet Etching
Cu-Be Spring Shim Stock - Chemical Cleaning
Cu2O Native Oxide - Oxide Removal
Cu6PS3-Halogens - Wet Etching
D (111) Oriented Small Parts - Detergent Cleaning
Diamond
Diamond ICP Etching - Dry Etching
Dry Etch Recipe for Titanium in Fluorine Based RIE - Dry Etching
Ellis's No. 5 Etchant - Ge Spheres and Hemispheres - Wet Etching
Epoxies - Wet Etching
Etch Rates in IBE with Ar-ions, Ion Energy: 1 keV, Ion Current Density: 1mA/cm2, Pressure: 0.05 mtorr - Dry Etching
Etch Rates of Common Materials Used in Semiconductor Manufacturing in the Most Popular Wet Etching Solutions
Etching Indium to Remove Oxides - Wet Etching
Fe Polycrystalline Discs - Electrolytic Polishing
Fe as Flat Soft Iron Lap Platens - Chemical Cleaning
Fe-Be (20%) Polycrystalline Wire - Electrolytic Cleaning
Fe-Mn-Zn Pressed Powder Blanks - Chemical Cleaning
Fe-Ni (65%) Alloy Specimens - Wet Etching
Fe-Si (4%) Polycrystalline Rods - Wet Etching
Fe/(Fe,C) - Iron ( and Steel) - Dry Etching
Fe/(Fe,C) - Iron ( and Steel) - Wet Etching
Fe3C-Fe Specimens - Wet Etching
Fe3Ge2 as a Crystalline Deposit - Wet Etching
Fe3O4 Specimen - Chemical Polishing
Fluorine-Based Chalcogenide Etch II - Dry Etching
Fused Silica - Dry Etching
Fused Silica - Dry Etching
Ga-In-As Phosphide - Wet Etching
Ga0.5In0.5P - Gallium Indium Phosphide - Dry Etching
Ga0.5In0.5P - Gallium Indium Phosphide - Wet Etching
GaAs (100) Substrates - Wet Etching
GaN - Gallium Nitride - Dry Etching
GaN - Gallium Nitride - Wet Etching
GaP - Gallium Phosphide - Dry Etching
GaP - Gallium Phosphide - Wet Etching
GaP Polycrystalline Material - Chemical Cleaning
GaSb - Gallium Antimonide - Dry Etching
GaSb - Gallium Antimonide - Wet Etching
Gallium Arsenide - Wet Etching
Gd3Ga5O12 Garnet - Wet Etching
Gd3Ga5O12 Garnets - Wet Etching
Ge Sphere - Wet Etching
Ge Wafers of Different Orientations - Dislocation Etching
Ge and Si Discs
Ge3N4 and Ge3O(1-x)N(x) - Wet Etching
Germanium - Wet Etching
GexSi(1-x)- Germanium Silicide - Dry Etching
GexSi(1-x)- Germanium Silicide - Wet Etching
Gilman's Etchant - Zinc - Chemical Polishing and Etching
Glass - Microscope Slides - Wet Etching
Glass Etching Rates - Wet Etching
Glass Etching for Microchannel Fabrication - Wet Etching
Glass as Microscope Slides - Chemical Cleaning
Glass, Soda-Lime Blanks - Chemical Cleaning
Glass-Various Types - Chemical Cleaning
Gold - Wet Etching
Grain-Boundary Delineation Etchants and Etch Processes
Hafnium - Wet Etching
Hf - Hafnium - Dry Etching
Hf - Hafnium - Wet Etching
HfO2 (JVD) - Wet Etching
HfO2 (PVD, RTCVD, MOCVD) - Dry Etching
Hg Liquid Frozen - Air Etching
Hg as a Smeared Surface Contact - Ketone, Freezing
Hg(1-x)CdxSe - Wet Etching
Hg0.84Cd0.16Se - Wet Etching
Hg3In2Te6 - Wet Etching
HgTe - Mercury Telluride - Dry Etching
HgTe - Mercury Telluride - Wet Etching
In as Pellets - Chemical Cleaning
In2Te3 - Indium Telluride - Dry Etching
In2Te3 - Indium Telluride - Wet Etching
In2Te3 Specimens - Chemical Polishing
InAs - Indium Arsenide - Dry Etching
InAs - Indium Arsenide - Wet Etching
InAs Specimens - Wet Etching
InN - Indium Nitride - Dry Etching
InN - Indium Nitride - Wet Etching
InP - Indium Phosphide - Dry Etching
InP - Indium Phosphide - Wet Etching
InSb (111) Wafers - Chemical Polishing
InSb - Indium Antimonide - Dry Etching
InSb - Indium Antimonide - Wet Etching
Indium - Wet Etching
Indium Gallium Arsenide - Wet Etching
Indium Gallium Phosphide - Wet Etching
Indium Phosphide - Wet Etching
Indium Phosphide Oxide - Wet Etching
Indium Tin Oxide - Wet Etching
Iron - Wet Etching
KOH Etchant - 100 Si - Wet Etching
KOH Etchant - 110 Si - Wet Etching
KOH Etchant - Silicon Dioxide and Silicon Nitride - Wet Etching
KTiOPO4 - Potassium Titanyl Phosphate (KTP) - Dry Etching
KTiOPO4 - Potassium Titanyl Phosphate (KTP) - Wet Etching
LCP, PR, OTS, PANI, PCL, PC - Dry and Wet Etching
La, U and Th Used as Pressed Powders - Pressure
La2O3 (MBE) - Dry Etching
Lead - Wet Etching
Li Specimens - Wet Etching
Li(x)WO3 (blue) and Li(x)WO3 - Oxide, Growth
LiAlO2 - Lithiumaluminat - Dry Etching
LiAlO2 - Lithiumaluminat - Wet Etching
LiGaO2 - Lithium Gallate - Dry Etching
LiGaO2 - Lithium Gallate - Wet Etching
LiNb03 - Lithium Niobate - Dry Etching
LiNb03 - Lithium Niobate - Wet Etching
Lithium Niobate (LiNbO3) - Wet Etching
Magnesia (MgO) - This Etch Produces Pits on Single Crystal MgO on (100) and (110) Faces
Magnesium - Wet Etching
Magnesium Alloys - Wet Etching
Magnesium Alloys - Wet Etching
Marshall's Solution - Fe Specimens - Chemical Polishing
Mercury - Wet Etching
Metallurgical Junction Determination - Wet Etching
Mg - Magnesium - Dry Etching
Mg - Magnesium - Wet Etching
Mg Pieces - Chemical Cleaning
Mg Specimens and Alloys - Acid Cutting
MgAl2O4 (Spinel) Natural Crystals - Molten Flux Decomposition
MgO (100) Substrates - Acid, Float-off
MgO (111) Cleaved Substrates - Ionized Gas, Cleaning
MgO x Al2O3 (111) Blanks - Dry Etching
Mica and Natural Rock Salt - Gas Cleaning
MnO2 Natural Crystal Specimens - Wet Etching
Mo - Molybdenum - Dry Etching
Mo - Molybdenum - Wet Etching
Mo Foil - Chemical Cleaning/Polishing
Mo Sheet - Electrolytic Polishing
Mo2B5 Specimens - Wet Etching
MoO2 as Amorphous Platelets on Steel - Wet Etching
MoSi2 - Molybdenum Silicide - Dry Etching
MoSi2 - Molybdenum Silicide - Wet Etching
Molybendum - Wet Etching
Murakami's Etchant - Mo Specimens - Wet Etching
Muscovite Mica (0H)2KAl2(AlSi3O10) - Acid, Float-off
Muscovite Mica (0H)2KAl2(AlSi3O10) - Chemical Cleaning
NaCl (100) Blanks - Gas Cleaning
NaCl - Wet Etching
Nb (100) Oriented Single Crystal Rods - Chemical Polishing
Nb - Dry Etching
Nb - Niobium - Dry Etching
Nb - Niobium - Wet Etching
Nb Specimens - Electrolytic Oxidation
Nb Specimens - Electrolytic Polishing
NbAl(y) as Alloy Specimens - Wet Chemnical Etching
NbN - Niobium Nitride - Dry Etching
NbN - Niobium Nitride - Wet Etching
Ni - Nickel - Dry Etching
Ni - Nickel - Wet Etching
Ni Crystalline Electrode Rod - Alcohol Cleaning
Ni Specimens - Electrolytic Polishing
Ni-Co (15%) Specimens - Electrolytic Thinning
Ni-Cr Residual Metals - Chemical Cleaning
Ni-Cr Specimens - Electrolytic Cutting
NiAl Specimens and Alloys - Electrolytic Polishing
NiMnSb - Nickel Manganese Antimonide - Dry Etching
NiMnSb - Nickel Manganese Antimonide - Wet Etching
NiS as the Natural Mineral Millerite - Wet Etching
Nickel - Wet Etching
Niobium - Dry Etching
Niobium - Wet Etching
Nital - Magnesium Alloys - Wet Etching
Np Specimens - Chemical Polishing/Etching
Os Specimens - Wet Etching
Oxide Wet-Etch Sensitivities
P2O5 and Other Phosphorus Compounds - Wet Etching
PC, PDMS, Polyester, PE - Dry and Wet Etching
PEG, PET, PI, PCB - Dry and Wet Etching
PI, PI-Kapton, Polymer-Residues, Polymer-Sidewall, PMMA - Dry and Wet Etching
PMMA, Parylene C, PP, PS, PTFE - Dry and Wet Etching
PSG - (P205, SiO2) - Phosphosilicate Glass - Dry Etching
PSG - (P205, SiO2) - Phosphosilicate Glass - Wet Etching
PTFE, PU, PVC, PVDF, Residues-Inorganic, Residues-Organic, Silicone-Gel, Silicone - Wet Etching
Pb - Lead - Dry Etching
Pb - Lead - Wet Etching
Pb Specimens - Oxide Removal
Pb(NO3)2 Grown as Single Crystals - Chemical Polishing
Pb-Sn Alloys - Wet Etching
Pb0.865 La0.09 Zr0.65 O(0.3) - Lead Lanthanum Zirconate Titanate (PET) - Dry Etching
Pb0.865 La0.09 Zr0.65 O(0.3) - Lead Lanthanum Zirconate Titanate (PET) - Wet Etching
PbS - Lead Sulphide - Dry Etching
PbS - Lead Sulphide - Wet Etching
PbTe Bulk Crystals - Wet Etching
PbZrxTi(1-x)O3- Lead Zirconate Titanate (PZT) - Dry Etching
PbZrxTi(1-x)O3- Lead Zirconate Titanate (PZT) - Wet Etching
Photoresist (AZ Type) - Wet Etching
Poly-Si Etch Recipe of ISRC - Dry Etching
Poly-Si Etch Test - Dry Etching
Poly-Ta Rod, Sheet, Wire - Chemical Cleaning
Polymer - Wet Etching
Pt (MBE) - Wet Etching
Pt - Platinum - Dry Etching
Pt - Platinum - Wet Etching
Pyrex Blanks - Acid Float-off
Quartz - Dry Etching
RCA Etchant (AB) - SiO2 Alpha-Quartz Frequency Crystals - Chemical Cleaning
Recipes for Making Top Hard Layer and Middle Buffer Layer of Tri-Layer Soft Mold - Dry Etching
Removing Rust (FeO[OH]) and Scale From the Steel Surface - Cyanide Solution
Removing Rust (FeO[OH]) and Scale From the Steel Surface - ENDOX 214 (Containing Cyanide)
Ru (PVD) - Dry Etching
RuO2 (PVD) - Dry Etching
RuO2 - Ruthenium Dioxide - Dry Etching
RuO2 - Ruthenium Dioxide - Wet Etching
Sb - Antimony - Dry Etching
Sb - Antimony - Wet Etching
Si (111) Dendritic-Web Ribbon Crystal - Chemical Thinning
Si (111) Pre-Cut Bars of Material - Chemical Polishing
Si (111) Web-Dendritic Ribbon Crystal Silicon - Chemical Thinning
Si3N4 - Silicon Nitride - Dry Etching
Si3N4 - Silicon Nitride - Wet Etching
SiC - Silicon Carbide - Dry Etching
SiC - Silicon Carbide - Wet Etching
SiO2 - Silicon Dioxide - Dry Etching
SiO2 - Silicon Dioxide - Wet Etching
SiO2 Fused Wuartz Tubes - Chemical Cleaning
SiO2 as Fused Quartz Ampoules - Chemical Cleaning
SiO2 x nH2O as the Natural Mineral Opal - Acid Coloring
SiOi2 AT-Cut Quartz Crystal Blanks - Polishing
Silicon - Chemical Polishing
Silicon - Sample Preparation Procedure
Silicon - Wet Etching
Silicon Dioxide RIE Etching - Dry Etching
Silicon Dioxide/Quartz/Glass - Wet Etching
Silicon Nitride - Wet Etching
Silicon Rubber (RTV), SU-8 (PR) - Wet Etching
Silver - Wet Etching
SixNyOz - Silicon Oxynitride - Dry Etching
SixNyOz - Silicon Oxynitride - Wet Etching
Sn - Tin - Dry Etching
Sn - Tin - Wet Etching
Sn Shot - Chemical Cleaning
Sn-Rich Bearing and Thin Plate
SnO2 - Tin Dioxide - Dry Etching
SnO2 - Tin Dioxide - Wet Etching
Sodium Specimens - Wet Etching
Stainless Steel - Electrolytic Polishing
Stainless Steel - Wet Etching
Survey of HF Attack of Select Metallic Materials - Wet Etching
Ta -Tantalum - Dry Etching
Ta -Tantalum - Wet Etching
Ta Material - Chemical Polishing
Ta Material - Electrolytic Polishing
Ta as High Purity Slugs - Chemical Cleaning
Ta0.72Si0.28N - Tantalum Silicon Nitride - Dry Etching
Ta0.72Si0.28N - Tantalum Silicon Nitride - Wet Etching
Ta2O5 -Tantalum Oxide - Dry Etching
Ta2O5 -Tantalum Oxide - Wet Etching
TaH Powder - Wet Etching
TaN (PVD) - Wet Etching
TaN -Tantalum Nitride - Dry Etching
TaN -Tantalum Nitride - Wet Etching
TaSi2 -Tantalum Silicide - Dry Etching
TaSi2 -Tantalum Silicide - Wet Etching
Tantalum - Wet Etching
Te -Tellurium - Dry Etching
Te -Tellurium - Wet Etching
TeO2 Crystalline Native Oxide or TeO, Amorphous Native Oxide - Salt, Removal
Th Specimens - Electrolytic Polishing/Etching
The Role of Buffer Acid Etchant - Wet Etching
Ti -Titanium - Dry Etching
Ti -Titanium - Wet Etching
Ti Sheet Specimens - Wet Etching
Ti Specimens - Wet Etching
Ti-Al System - Alloys with up to 5 at.% Al
TiN - Titanium Nitride - Dry Etching
TiN - Titanium Nitride - Wet Etching
TiO2 -Titanium Dioxide - Dry Etching
TiO2 -Titanium Dioxide - Wet Etching
Tin - Wet Etching
Titanium - Dry Etching
Titanium - Wet Etching
Titanium for MEMS Applications - Dry Etching
Tl Poly Crystalline Specimens - Wet Etching
Tri-Iodide Etchant - Au Specimens and Thin Films - Wet Etching
Tri-Iodine Etchant, Modified - AuSn (20%) Alloy Ribbon - Wet Etching
Tungsten - Wet Etching
Type 304 Stainless Steel - Electrolytic Thinning
UN (001) Wafers - Electrolytic Jet Thinning
UO2 Specimens - Electrolytic Polishing
Uranium Dioxide (UO2) Single Crystals
V - Vanadium - Dry Etching
V - Vanadium - Wet Etching
V Specimens - Electrolytic Polishing/Thinning
Vanadium - Wet Etching
Various Gas for Poly-Si Etching - Dry Etching
W (PVD) - Dry Etching
W - Tungsten - Dry Etching
W - Tungsten - Wet Etching
W Specimens - Electrolytic Polishing
W Specimens and W Thin Film Deposits - Wet Etching
W Specimens as Wire, W Single Crystal Wafers - Gas, Forming
W-10% Co Specimen - For Electron and Optical Microscopy
WO2 Oxide Specimens - Wet Etching
WO3 - Tungsten Trioxide - Dry Etching
WO3 - Tungsten Trioxide - Wet Etching
WO3 Oxide Specimens - Wet Etching
WSi2 - Tungsten Silicide - Dry Etching
WSi2 - Tungsten Silicide - Wet Etching
Weck's Etchant - Pure Ti and Ti Alloys - Wet Etching
Y2O3 (RPECVD) - Wet Etching
YBa2Cu3O(7-x) - Yttrium Barium Cuprate - Dry Etching
YBa2Cu3O(7-x) - Yttrium Barium Cuprate - Wet Etching
Zinc - Wet Etching
Zinc Oxide - Wet Etching
Zirconium - Wet Etching
Zn - Zinc - Dry Etching
Zn - Zinc - Wet Etching
Zn and Cu Specimens - Dry Etching
ZnO - Zinc Oxide - Dry Etching
ZnO - Zinc Oxide - Wet Etching
ZnS - Zinc Sulfide - Dry Etching
ZnS - Zinc Sulfide - Wet Etching
ZnSe - Zinc Selenide - Dry Etching
ZnSe - Zinc Selenide - Wet Etching
Zr Poly Sheet - Chemical Thinning
Zr Poly Sheet - Chemical Thinning
Zr Polycrystalline Blanks - Wet Etching
ZrO2 (PVD, MBE, JVD) - Wet Etching
ZrO2 (RTCVD) - Dry Etching
a-Ge Evaporated on Fused Quartz Blanks
a-Nb3Ge Compound - Dry Etching
i-C-Gas, Growth

Copyright © 2020 by Steel Data. All Rights Reserved.