Thin Film Etchants

(Ba,Sr)TiO3 (BST) Thin Film - Dry Etching
(Bi3.465La0.85)Ti3.0O12 - Dry Etching
(Ga,Al)As-Be p-Type Thin Films - Wet Etching
10 Ti/90 W Ion-Milled
4In2O3-1SnO2 as Thin Film Surface Coatings - Wet Etching
6H-SiC - ICP Etching - Dry Etching
80 Ni/20 Cr Evaporated
ALD Al2O3 (TMA+H2O-300 C) + Etch in BCl3 - ICP Etching - Dry and Wet Etching
ALD Etch-Back Method - TiO2
ALD-Al2O3 - Wet Etching
ALD-HfAlOx - Wet Etching
ASTM Etchant No. 161 - TaN Thin Films - Wet Etching
AU-5 Etchant - Wet Etching
AU-5 Etchant - Wet Etching
AZO (Al2O3 1 wt.%-doped ZnO) - Wet Etching
Action Marker Resist Pen
Advanced PECVD Nitride2 Thickness Uniformity 2014 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2014 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2014-2 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2015 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2016 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2016 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2017 - PECVD Etching - Dry Etching
Advanced PECVD Nitride2 Thickness Uniformity 2017 - PECVD Etching - Dry Etching
Ag - Wet Etching
Ag 100 a Thick Thin Film - Wet Etching
Ag Thin Film Coatings on Si, Al2O3 and ZrO2 Substrates - Wet Etching
Ag Thin Film Deposits - Chemical Cleaning/Etching
Ag Thin Films - Wet Etching
Ag Thin Films - Wet Etching
Ag Thin Films - Wet Etching
Ag Thin Films Electroplated on Brass - Wet Etching
Ag, Carbon Steel, Stainless Steel - Wet Etching
Ag-Au Evaporated Thin Films - Wet Etching
Ag2ZnSnSe4 - Wet Etching
AgGaS2 Thin Films
Agua Regia - Au Thin Films and Specimens - Wet Etching
Agua Regia - AuSn (20%) Alloy as an Evaporated Thin Film - Wet Etching
Agua Regia - Ir Thin Films Deposited on Silicon (100), n-Type - Wet Etching
Agua Regia - IrV and Ir80V20 Thin Films - Wet Etching
Agua Regia - Ni-Cr Thin Films Evaporated on (111) and (100) Oriented Si - Wet Etching
Agua Regia - Pt and Au Evaporated on Silicon (111) - Wet Etching
Agua Regia - SnGe (1%) Thin Films - Wet Etching
Agua Regia - Ti0.3W0.7Si2 Thin Films - Chemical Polishing
Agua Regia - Ti3W7Si2 Thin Films on Silicon Wafers, (111), (110) and (100) - Wet Etching
Agua Regia - TiW (1% Ti) Thin Films - Wet Etching
Agua Regia - a-Zr2Pd Thin Films - Chemical Polishing/Etching
Agua Regia - a-Zr3Rh Amorphous Thin Films - Chemical Polishing/Etching
Agua Regia, Dilute - Pd Thin Films - Chemical Cleaning
Al (100) Wafers - Dry Etching
Al + 2% Si Sputtered Thin Film
Al Etch A - Wet Etching
Al Evaporated on KCl - Al, Evaporated on KCl, (100) and (111) Cleaved Substrates as Oriented Thin Films
Al Foil with an Al2O3 Thin Film
Al Thin Film - Ketone, Lift-off
Al Thin Film on (100) Silicon Wafers - Wet Etching
Al Thin Film on Quartz Substrate - Gas, Removal
Al Thin Films Deposited on GaAs - Dry Etching
Al Thin Films Deposited on Silicon Substrates - Dry Etching
Al Thin Films Evaporated on SiO2, Al2O3, and ZrO2 Substrates - Alkali, Removal
Al Thin Films and Crystalline Aluminum Sheet
Al, and A12O3/A1N Thin Films - Dry Etching
Al-AFM-Variation-Deposition-Rate - E-Beam Evaporation
Al-Ni Alloy Thin Film - Wet Etching
Al-Ni Alloy Thin Film Coatings - Etching
Al-Ni Alloy Thin Films - Flux Etching and Cleaning
Al/Ni/Ti on Si - ICP Etching - Dry Etching
Al0.05In0.95Sb, AlSb/Ga0.9In0.1Sb - Dry Etching
Al2O3 ALE - Dry Etching
Al2O3 ALE - Wet Etching
Al2O3 Thickness Uniformity 2018-1 hr Dep - Sputtering
Al2O3 Thin Film - Dry Etching
Al2O3 Thin Film - Electrolytic Etching
Al2O3 Thin Film - Wet Etching
Al2O3 Thin Film - Wet Etching
Al2O3 Thin Film Deposition on InGaAsP/InP - Oxide, Passivation
Al2O3 Thin Films DC Reactively Sputtered on (111) Silicon Wafers - Wet Etching
Al2O3 and Al2PxOy Thin Films - Solvent, Cleaning
Al2O3, AlP, Sb - Wet Etching
Al2O3, Native Oxide Films on Al:Au Alloys - Wet etching (Cleaning)
Al2O3, Thin Film Deposited on Silicon - Photochemical, Forming
Al2O3/TiO2 - Dry and Wet Etching
AlAs Thin Films - Chemical Polishing
AlAs-GR-Cal - ICP Etching - Dry Etching
AlGaAs- Wet Etching
AlGaIn-AsSb - Dry Etching
AlGaIn-AsSb - Wet Etching
AlGaIn-AsSb - Wet Etching
AlGaN/GaN - Dry Etching
AlGaN/GaN - Wet Etching
AlGaP, AlGaInP, AlInAs, AlInN - Wet Etching
AlInP, AlN, Al2O3 - Wet Etching
AlInP, AlN, Al2O3 - Wet Etching
AlN - Dry Etching
AlN - Dry Etching
AlN Thin Film - Dry Etching
AlN Thin Film - Dry Etching
AlN Thin Film - Dry Etching
AlN Thin Film - Dry Etching
AlN Thin Film - Dry Etching
AlN Thin Film on (100) Gallium Arsenide - Wet Etching
AlN Thin Film on (111) Silicon Wafer - Wet Etching
AlN Thin Films (100) and (111) - Acid, Float-off
AlN Thin Films - Dry etching
AlN Thin Films Deposited on GaAs-Zn Doped Wafer - Wet Etching
AlN on Si and GaAs Substrates - Wet Etching
AlSi Recipe - Dry Etching
Aluminium - ICP Etching - Dry Etching
Aluminium - Wet Etching
Aluminium - Wet Etching
Aluminium - Wet Etching
Aluminium - Wet Etching
Aluminium Alloys - Al Alloys as Sheet Material and as an Evaporated Thin Film on Other Materials
Aluminium Etchant Type A - Wet Etching
Aluminium Thin Film - Cleaning Etching
Aluminium Thin Film - Cleaning Etching
Aluminium Thin Film - Cleaning Etching
Aluminium Thin Film - Dry Etching
Aluminium Thin Film - Wet Etching
Aluminium Thin Films - Chemical Cleaning
Aluminium Thin Films Deposited on GaAs and Si (100) Wafers - Cleaning Etching
Aluminium Thin Films Evaporated on GaAs
Aluminium Thin Films Evaporated on Silicon and Gallium Arsenide - Wet Etching
Aluminium Thin Films on Semiconductor Wafers - Cleaning Etching
Aluminum - Wet Etching
Aluminum - Wet Etching
Aluminum Antimonide (AlSb), Aluminum Arsenide (AlAs) - Wet Etching
Aluminum Etchant Type A - Wet Etching
Aluminum Etchant for VLSI - Wet Etching
Aluminum Etchants - Others - Wet Etching
Aluminum Evaporated
Aluminum Gallium Arsenide (AlGaAs), Aluminum Gallium Indium Phosphide (AlGaInP) - Wet Etching
Aluminum Indium Phosphide (AlInP) - Wet Etching
Aluminum Oxide Evaporated
Aluminum Oxide Ion-Milled
Aluminum Trioxide/Alumina/Sapphire - Wet Etching
Aluminum on Photoresist - Wet Etching
Aluminum, Titanium - Wet Etching
Amorphous Carbon Film - Dry Etching
Amorphous Carbon Thin Film - Dry Etching
Amorphous-Si, Deposited Using Dual-Frequency PECVD, at 300 C - ICP Etching - Dry Etching
Anisotropic Vapor HF Etching of Silicon Dioxide - Wet Etching
Anisotropic Wet Etching of AlN - Wet Etching
Ann. LTO LPCVD Calogic
Ann. LTO LPCVD Tylan
Ann. PSG LPCVD Tylan
Antimonide Materials - Wet Etching
Antimony - Wet Etching
Aqua Regia - Pd Thin Film - Chemical Cleaning
Aqua Regia - PdAu Deposited as a 1:1 Mixture on Glass, Quartz, and Sapphire Substrates - Wet Etching
Aqua Regia - ZnTe Thin Film Deposits - Wet Etching
As2S3 - Wet Etching
As38S18Se44 - Wet Etching
Au (100) Single Crystal Thin Films - Specimen Preparation
Au Thin Film - Dry Etching
Au Thin Film Deposited by CVD - Metal Diffusion
Au Thin Film Deposited on Glass - Wet Etching
Au Thin Film Deposits on Silicon Wafers - Wet Etching
Au Thin Films - Dry Etching
Au Thin Films - Electrolytic Polishing
Au Thin Films - Gas, Diffusion
Au Thin Films - Wet Etching
Au Thin Films Deposited on (0001) Muscovite Mica Substrates - Acid, Float-off
Au Thin Films Deposited on (100) NaCl Substrates - Acid, Float-off
Au Thin Films Deposited on Glass - Wet Etching
Au Thin Films Deposited on Soda-Lime Glass - Thermal, Structure
Au Thin Films Evaporated on Alumina Substrates - Gas, Drying
Au Thin Films Pulse Plated on Alumina Blanks - Gold Plating
Au as Hard Gold Coatings on Copper Substrates - Metal Plating
Au, Hf - Wet Etching
Au-Ga Thin Films EB Evaporated on NaCl (100) Substrates - Gas, Aging Defects
Au-Zn as an Evaporated Metal Contact on InGaAsP/InP(100) - Wet Etching
Au/TiW - Thin Film on Al Film Deposited on (111) Silicon Wafers
AuGa2 (100) Oriented Thin Dilms on NaCl - Wet Etching
AuSn (20%) Alloy as Evaporated Thin Films - Wet Etching
AuTi Thin Films - Chemical Thinning
B Grown as Thin Films - Growth
BCN - Wet Etching
BCN Thin Film - Wet Etching
BHF Etchant - NxSiO2 Thin Films - Wet Etching
BHF Etchant - Si Thin Film Epitaxy Grown on (100) Silicon Wafer Substrates
BHF Etchant - Si3N4 Thin Film Amorphous Deposits - Wet Etching
BHF Etchant - Si3N4 Thin Films Deposited on (100) Silicon Wafers - Chemical Cleaning
BHF Etchant - Si3N4 Thin Films RF Plasma Grown on Silicon - Wet Etching
BHF Etchant - SiO2 Thin Films Thermally Evaporated - Wet Etching
BHF Etchant - TiN Thin Films - Wet Etching
BHF Etchant - TiN Thin Films Deposited on Poly-Si Epitaxy Layers - Wet Etching
BHF Etchant, Modified - Si3N4 Thin Films - Wet Etching
BHF Etchant, Modified - Si3N4 and Si3NxOy Thin Films - Wet Etching
BN Amorphous Thin Films - Wet Etching
BN Single Crystal Films - Gas, Crystallization
BN, B2O3, CdO, CdO-SnO2, CdSe, CdS, CdTe - Wet Etching
BSG Etchant - SiO2 as a BSG Glassy Layer on Silicon - Wet Etching
Ba0.8Sr0.2TiO3 (BST) - Wet Etching
Barium Strontium Titanate (BST) - Dry Etching
Barium Strontium Titanate (BST) - Dry Etching
Basic Design of High-K Materials and Sputtering Conditions - Sputtering
Be Thin Film - Acid, Removal
Be Thin Film - Electrolytic Thinning
Be Thin Film - Freeze Etchant
Benzocyclobutene Polymer - Dry Etching
Beta SiC Thin Films Grown on Si, (100) Wafers - Wet Etching
Beta-SiC Thin Films Grown on (100) Silicon - Chemical Cleaning
Bi(4-x)LaxTi3O12 Thin Film - Dry Etching
Bi2O3 Deposited as a Thin Film - Wet Etching
Bi2Se3 Thin Film - Wet Etching
Bi2Se3, Bi2Te3, Sb2Te3 and Bi2Te2Se - Dry Etching
Bi2Te3, Sb2Te3 - Wet Etching
Bismuth - Wet Etching
Brass - Wet Etching
C as Thin Films - Cleaning
CP4 Etchant - ZnO Thin Film Deposit
CR-14 Etchant - Wet Etching
CR-7 Etchant - Wet Etching
CVD Graphene Etching - Dry Etching
CZT (CdZnTe) - RIE Etching - Dry Etching
Ca2N3 Thin Films - Wet Etching
CaF2 (100) Thin Films Deposited on GaAs, (100) Substrates - Wet Etching
CaSnF2 Thin Film - Wet Etching
Carbon - Wet Etching
CdO - Photochemical Etching
CdO Native Oxide - Wet Etching
CdO Thin Film - Wet Etching
CdO as a Surface Oxide - Chemical Cleaning
CdP2 Deposited as a Thin Film on InP - Wet Etching
CdSe Polycrystalline Thin Film - Chemical Polishing
CdSe Thin Film - Wet Etching
CdSe Thin Film - Wet Etching
CdSe and (Cd,Se)xZn(1-x) Single Crystal Thin Films - Wet Etching
CdSe as a Deposited Polycrystalline Thin Film - Wet Etching
CdTe Thin Film - Wet Etching
CdTe Thin Film - Wet Etching
CdTe Thin Film - Wet Etching
CeO2 Deposition - E-Beam Evaporation
Chalcogenide Glasses (ChG)-Ge23Sb7S70 - Dry Etching
Chemical Etchants for Various Thin Films
Chlorine-Based Chalcogenide Etch I - Dry Etching
Chrome Etchant - Au Thin Films and Specimens - Wet Etching
Chrome Etchant - Au-Cr Thin Films - Wet Etching
Chrome Etchant - Cr Thin Films - Wet Etching
Chrome Etchant - Cr2O3 Amorphous Thin Films - Wet Etching
Chrome Etchant - For Cr Thin Films
Chrome Etchants, Modified - Cr Thin Film Deposits on Glass Substrates
Chrome Regia Etchant - Si3N4 Oxynitrides and SiO2 Thin Films - Chemical Cleaning
Chromium - ICP Etching - Dry Etching
Chromium - Wet Etching
Chromium - Wet Etching
Chromium Etchant - Wet Etching
Chromium Etchant - Wet Etching
Chromium Etchant - Wet Etching
Chromium Evaporated
Chromium Ion Milled
Chromium Thin Film - Dry Etching
Chromium/Nichrome Etchant - Wet Etching
Classification of Thin Film and Ultra Thin Film Deposition Metods
Co Etch Rate/Compatibility Study 3:1 XSE-7 with H2O2 - Wet Etching
Co, Cu - Wet Etching
CoFeB - Dry Etching
CoSi2 - Wet Etching
CoSi2 Thin Film Grown on Substrates of Si, (111) and (100) - Wet Etching
CoSi2 Thin Films Grown on Si Substrates - Ionized Gas, Removal
CoSi2/Si - Wet Etching
Cobalt - Wet Etching
Cobalt - Wet Etching
Cobalt Thin Film - Dry Etching
Common Deposition Methods for Thin Films in Integrated Circuit Fabrication
Common Wet Chemical Etchants for Various Thin Films Used in IC Fabrication
Common Wet Chemical Etchants for Various Thin Films used in IC Fabrication
Comparison of Etch Rates by Dry and Wet Etching Methods - Dry and Wet Etching
Comparison of Gold Electrolytes Suitable for Microfabrication
Conventional SiC RIE - Dry Etching
Copper - Wet Etching
Copper - Wet Etching
Copper Evaporated
Copper Thin Film - Dry Etching
Copper Thin Films - Wet Etching
Cr Etching - Dry Etching
Cr Evaporated Thin Films - Wet Etching
Cr Thin Films - Dry Etching
Cr Thin Films - Gas Oxidation
Cr Thin Films - Wet Etching
Cr Thin Films Deposits - Wet Etching
Cr as Evaporated Deposits in Vacuum Systems - Chemical Cleaning
Cr, Co - Wet Etching
Cr2O3 Thin Film - Wet Etching
CrSi, CrSi2, CoSi2, CuSi, HfSi2 - Wet Etching
CrSi2 Thin Films Deposited on Silicon Substrates - Gas Oxidation
Crystallographic Orientation of Polycrystalline ZnO Thin Films
Cu - Wet Etching
Cu APS 100 Etchant - Wet Etching
Cu APS 100 Etchant - Wet Etching
Cu FeCl3 200 Etchant - Wet Etching
Cu Thin Films Evaporated on NaCl - Acid, Float-off
Cu(In,Ga)Se2 - Wet Etching
Cu, Dy, Er, Au - Wet Etching
Cu-Pd Thin Films - Wet Etching
Cu20 Thin Films - Acid Oxidation
Cu2O Thin Films - Chemical Cleaning
Cu2O as a Native Oxide Thin Film on Surfaces - Oxide Removal
Cu2O as a Native Oxide on Copper Surfaces - Acid Removal
CuFeCl3 200 Etchant - Wet Etching
CuInS2 - Wet Etching
CuInTe2 Thin Films - Acid, Float-off
CuInTe2 Thin Films - Chemical Thinning
DE-100 Etchant - SiO2 Thin Films Seposited by Silox System Method on (100) Silicon and GaAs-Cr (SI) Wafers - Dry Etching
DS-K101-304 - Anti-Reflective Coatings
DUV-42P - Anti-Reflective Coatings
Deposition Conditions and Film Properties for Poly-SiC Films Deposited by APCVD
Deposition Conditions and Material Properties of Micro and Nanocrystalline Diamond Deposited by MPCVD
Deposition Conditions and Material Properties of Si Films Deposited by Sputtering
Deposition Conditions and Mechanical Properties for Epi-Poly Films
Deposition Conditions and Mechanical Properties of GaN Films
Deposition Conditions and Mechanical Properties of SiO2 Films Deposited by PECVD
Deposition Conditions and Properties of Polycrystalline Diamond Deposited by HFCVD
Deposition Conditions and Properties of Ultrananocrystalline Diamond (UNCD) by MPCVD
Deposition Conditions for Dichlorosilane-Based LPCVD Poly-SiC Processes
Deposition Conditions for LPCVD SiGe Films on Oxide Coated Si Substrates
Deposition Conditions for LTO and PSG Films Deposited in the MFL at CWRU
Deposition Conditions for Non-Dichlorosilane-Based LPCVD Poly-SiC Processes
Deposition Conditions for Stoichiometric and Low-Stress Nitride Films Deposited at CWRU
Deposition Conditions for Undoped LPCVD Polysilicon Films
Deposition Conditions for in Situ Doped LPCVD Polysilicon Films
Deposition Methods and Parameters for PZT Thin Films
Deposition Parameters Used to Deposit ZrN-Si Films via DC Reactive Magnetron Sputtering
Deposition Parameters and Insulating Properties for Si Films Deposited by PECVD
Deposition Parameters and Material Properties for As-Deposited LTO Films
Deposition Parameters and Material Properties for As-Deposited PSG Films
Deposition Parameters for Silicon Germanium Films Deposited by PECVD
Deposition Parameters for Silicon Nitride Films Deposited by PECVD
Deposition Parameters for Silicon Nitride and Silicon Dioxide - Dry Etching
Design Matrix Used for Etch Rate Optimization of GaN(0001) Thin Films - Dry Etching
Design Matrix for Etch Rate Optimization of GaN Thin Films - Dry Etching
Diamond Thin Film - Laser Etching
Diamond Thin Film - Sputtering
Dil. Aqua Regia Etchant - Wet Etching
Dil. Aqua Regia Etchant - Wet Etching
Diode RIE Etching Rates for Various Materials
Dis 0.2mbar_Line2 - 0.2mbar, O2, 125/75W - Sputtering
Dry Etched SiO2 Mask - Recipe
Dry Etching Parameters for Silicon Nitride and Silicon Dioxide - Dry Etching
Effect of Nitridation on TiN Etch Rate - Wet Etching
Effect of Temperature on Etch Rate: Example XSE-1 - Wet Etching
Epitaxial PLZT Thin Film - Sputtering
Epoxies - Wet Etching
Epoxy Photoresists - Dry Etching
Er as an Evaporated Thin Film - Wet Etching
ErH2 and ErH3 - Acid, Float-off
ErSi2 Thin Films Grown on Si (100) - Thermal Forming
Erbium-doped Ta2O5 (Er:Ta2O5) - Dry Etching
Etch Eates of Titanium in Orthophosphoric Acid - Wet Etching
Etch Parameters for PZT Thin Films
Etch Rate Dependence on Temperature for GaN and AlN in Cl2/H2/CH4/Ar and Cl2/H2/Ar - Dry Etching
Etch Rate Table - Wet Etching
Etch Rate in HF/H2O and BHF/glycerol Solutions - Wet Etching
Etch Rate of GaN as a Function of Pressure - Dry Etching
Etch Rate of GaN as a Function of RF Power - Dry Etching
Etch Rate of GaN as a Function of the Cl2 Concentration in a Mixture of Either BCl3 or Ar - Dry Etching
Etch Rate of GaN in an ICP System as a Function of ICP Power - Dry Etching
Etch Rate of Silicon Nitride as a Function of Microwave Power - Dry Etching
Etch Rates of GaN and AlN Thin Films - Wet Etching
Etch Rates of Silicon Nitride and Polycrystalline Silicon as a Function of CF4 Flow - Dry Etching
Etchants for Insulators and Conductors - Wet Etching
Etching Rates by Ion Beam Sputtering (at 500 eV, Ar+, 1 mA/cm2)
Etching Rates by Ion Beam Sputtering at 500 eV
Etching Rates of RIE for Various Materials
Etching Silicon Nitride with Deuterium (D2O) - Wet Etching
ExSitu_0.4mbar - 0.4mbar, N2, 100/75W - Sputtering
ExSitu_0.4mbar_Line2 - 0.4mbar, O2, 100/75W - Sputtering
ExSitu_0.8mbar - 0.8mbar, N2, 100/75W - Sputtering
ExSitu_0.8mbar_Line2 - 0.8mbar, O2 100/75W - Sputtering
Example Baths for Electroless Copper Deposition Using Formaldehyde Reducing Agent and Hypophosphite Reducing Agent
Example Baths for Electroless Nickel Deposition Using Various Hypophosphite Reducing Agents
Example Nickel Sulfamate Electrolytes Used for Microfabrication
Experimental Procedures of SiGe Passivation
Extraction Eeplica Etchant for High Chromium and Alloy Steels - Wet Etching
Extraction Replica Etchant For Nickel Alloys - Wet Etching
Extraction Replica Etchant for High Speed Steels - Wet Etching
Extraction Replica Etchant for Mild and Low Alloy Steels - Wet Etching
Extraction Replica Etchant for Stainless Steels - Wet Etching
Extraction Replica Etchant for Titanium Alloys - Wet Etching
Extraction Replica Rtchant for Cu Alloys - Wet Etching
Fe Thin Films Deposited by MBE on GaAs, (110) Wafer - Polishing
Fe Thin Films Deposited by MBE on GaAs, (110) Wafer Substrates - Wet Etching
Fe, FeNiCo, Pb, Li, Mg - Wet Etching
Fe-Ni Thin Film - Chemical Cleaning
Fe2O3 Thin Film - Wet Etching
Fe3Ge3 Thin Films - Wet Etching
FeO(x) Thin Films - Wet Etching
FeO(x) as Thin Film - Chemical Cleaning
FePd (100) and Crystalline Thin Films - Gas Corrosion
FePt Thin Film - Dry Etching
FeSi2, Mg2Si, MnSi2, MoSi2 - Wet Etching
FeWSi Thin Films Deposited on Silicon, (100) Wafers - Wet Etching
Ferroelectric Thin Films and Devices
Fine-Grain Stress-Controlled Polysilicon with an Oxide Sacrificial Layer
Fluorine-Based Chalcogenide Etch II - Dry Etching
Formation of Textured Surfaces of Ge Using i-MacEtch - MacEtch
Futurrex Negative Photoresist
Futurrex Positive Photoresist
Ga2O3 Thin Film Growth of GaAs, (100), p-Type Wafers - Chemical Oxidizing
Ga2O3 as Native Oxide on GaAs (100) Wafers - Dry Etching
Ga2O3 as Native Oxide on GaAs - Dry Etching
GaAs - Deep Via Etching - ICP Etching - Dry Etching
GaAs - ICP Etching - Dry Etching
GaAs - ICP Etching - Dry Etching
GaAs - RIE Etching - Dry Etching
GaAs Nanoscale Etch - ZEP Mask - ICP Etching - Dry Etching
GaAs as Thin Film Epitaxy Grown on Germanium Substrate - Wet Etching
GaAs/AlAs - Wet Etching
GaAs/AlGaAs - Dry Etching
GaAsSb, GaAsP, GaN, GaP, Ge - Wet Etching
GaN (0001) Single Crystal Thin Films - Wet Etching
GaN - RIE Etching - Dry Etching
GaN - RIE Etching - Dry Etching
GaN - RIE Etching - Dry Etching
GaN - Wet Etching
GaN - Wet Etching
GaN - Wet Etching
GaN - Wet Etching
GaN Fabrication Processing Steps - Dry Etching
GaN Films on (0001) Sapphire Substrates - Wet Etching
GaN Films on (0001) Sapphire Substrates - Wet Etching
GaN Thin Film - Wet Etching
GaN Thin Film - Wet Etching
GaN Thin Films - Wet Etching
GaN Thin Films Grown by MBE on (0001), and Single Crystals Sapphire Substrates to 1000 A Thickness - Thermal Cleaning
GaN, AlGaN, and InGaN - Dry Etching
GaN-AlGaN - ICP Etching - Dry Etching
GaP - Wet Etching
GaSb-Based Materials - Wet Etching
GaSb/InAs - Wet Etching
Gallium Indium Zinc Oxide Thin Film - Dry Etching
GdTbFe Thin Films - Wet Etching
Ge (100) Very Thin Films Grown by PECVD on NaCl, Ge Wafers - Thermal Cleaning
Ge Thin Films - Dislocation Etching
Ge Thin Films Evaporated on GaAs:Cr (SI) Substrates - Chemical Cleaning
Ge Thin Films Evaporated on GaAs:Cr (SI) Substrates - Wet Etching
Ge Thin Films Evaporated on Si, Al, Al2O3, GaAs, C Substrate - Dry Etching
Ge(x)Se(1-x) Thin Films - Wet Etching
Ge(x)Se(x-1) Thin Films - Wet Etching
Ge0.922Sn0.078 - Dry Etching
Ge2O3, DC Sputtered Thin Films - Wet Etching
Ge2Sb2Te5 (GST) - Dry Etching
Ge2Sb2Te5 (GST) Thin Film - Dry Etching
Ge2Sb2Te5 - Dry Etching
Ge2Sb2Te5 - Wet Etching
Ge3N4 Thin Films - Gas Densification
Ge3N4 and Ge3OxNy Thin Films - Wet Etching
Ge:Sb Thin Film - Wet Etching
GeO2 Thin Films - Gas Forming
GeO2, Ge3N4, HfO2, InAlAs, InSb - Wet Etching
General Comparisons Between Wet and Dry Etching
Gold - RIE Etching - Dry Etching
Gold - Wet Etching
Gold - Wet Etching
Gold - Wet Etching
Gold - Wet Etching
Gold Evaporated
Graphene - Dry Etching
Graphene - Dry Etching
Graphene - Wet Etching
Graphene Etchant
Graphene Oxide - Dry Etching
Graphene-Based Transistors for STM Measurements Fabrication Recipe
Graphite Ion-Milled
Groove for Inverted Rib Waveguide Patterning - Siliconoxynitride - Dry Etching
H2O2 50 C Etchant - Wet Etching
HBN - Dry Etching
Hafnium - Wet Etching
Heteroepitaxial PLT Thin Film - Sputtering
Hf Thin Films Deposited on Silicon Wafers - Wet Etching
Hf, In, Fe, Ir - Wet Etching
HfAlO3 - Dry Etching
HfN Thin Film - Chemical Cleaning
HfO2 Thin Film - Dry Etching
HfO2 and Al2O3 - Dry and Wet Etching
HfO2, TiO2, ZrO2 Etch Rates - Wet Etching
Hg Applied as a Thin Film - Wet Etching
HgCdTe (111) Thin Films - Wet Etching
HgCdTe Thin Films - Wet Etching
High Temperature Water as a Clean and Etch of SiO2 Films - Wet Etching
Ho-Co Alloy Sputter Deposited on Glass and NaCl, (100) Substrates - Ionized Gas Cleaning
Huber Etchant - InP - Wet Etching
IBD Al2O3 Data 2018-1 hr Depositions - Sputtering
IBD Calibrations Spreadsheet - Sputtering
IBD Particulates 2015 - Sputtering
IBD SIO2 Thickness Uniformity 2016-1 hr Dep - Sputtering
IBD SiO2 Data 2014 - Sputtering
IBD SiO2 Data 2015-1 hr Depositions - Sputtering
IBD SiO2 Data 2016-1 hr Depositions - Sputtering
IBD SiO2 Thickness Uniformity 2014 - Sputtering
IBD Ta2O5 Data 2014 - Sputtering
IBD Ta2O5 Thickness Uniformity 2014 - Sputtering
IBD TiO2 Data 2014 - Sputtering
IBD TiO2 Thickness Uniformity 2014 - Sputtering
IBE Conditions for High-Tc Superconducting Thin Films
IBE Conditions for PLZT Thin Films
ICP Etching Recipes Used for SiO2/Si Etching - Dry Etching
ICP SiC Etch - Dry Etching
ICP SiNx etching CF4 O2 - ICP Etching - Dry Etching
ITO - RIE Etching - Dry Etching
ITO Film Deposition - E-Beam Evaporation
ITO Thin Film - Dry Etching
ITO, FeO, Pb2O3, PbSe, PbS - Wet Etching
IZO Thin Films - Dry Etching
In0.53Ga0.47As, InP (P-Type), InGaAsP - Wet Etching
In2O3 (1010) Deposited Oriented Thin Film - Wet Etching
In2O3 (1010) Grown as an Oriented Thin Film - Wet Etching
In2O3 (1010) Oriented Thin Films - Wet Etching
In2O3 and SnO2 - Dry Etching
In2O3 as Thin Film - Wet Etching
InAs/AlSb - Wet Etching
InAs/GaSb - Wet Etching
InAs/GaSb - Wet Etching
InGaAs (001) Thin Film - Wet Etching
InGaAs, InGaAsP, InGaP - Wet Etching
InGaAsP Epitaxy Thin Films - Wet Etching
InGaAsP Thin Film Layer Grown by LPE - Wet Etching
InGaAsP as Thin Film Layers - Wet Etching
InGaP, In2O3, InP - Wet Etching
InGaSb Deposited as a Thin Film on BaF2 Substrate (111) - Chemical Thinning
InGe Used as a Deposited Au/InGe Alloy Contact on (100) InP and GaAs Wafers - Wet Etching
InP - ICP Etching - Dry Etching
InP - ICP Etching - Dry Etching
InP Etch Using Unaxis PM1 Tool at 200 C - ICP Etching - Dry Etching
InP Etch Using Unaxis PM1 at 200 C - ICP Etching - Dry Etching
InP, InPO, ITO - Wet Etching
InP/InGaAsP - Dry Etching
InP/InGaAsP - RIE Etching - Dry Etching
InP/SiO2 - ICP Etching - Dry Etching
InSb - Dry Etching
InSb Thin Films - Chemical Polishing
InSb, InAs, InAs, GaAs, GaP - Wet Etching
InSb/In(1-x)AlxSb - Wet Etching
Indium Evaporation Data - Sputtering
Indium Phosphide - Wet Etching
Indium Phosphide Oxide Etchants - Wet Etching
Indium Tin Oxide (ITO) - Dry Etching
Indium Tin Oxide (ITO) - Wet Etching
Indium-Tin-Oxide (ITO) - Dry Etching
Indium-Zinc-Oxide (IZO) - Dry Etching
Inkjet Etching - Wet Etching
Integrated Waveguide Array Isolators (SiO2) - Dry Etching
Interaction Profiles That Show the Interdependence Between ICP Power, DC Bias, and Pressure on the Etch Rate of GaN - Dry Etching
Ion Beam Etching Conditions for High-Tc Superconducting Thin Films
Ion Beam Etching Conditions for PLZT Thin Films
Ion Beam Surface Cleaning
Ion Current Density, Cl+ Density, Cl Density, and BCl Density as a Function of BCl3 Concentration in Cl2 - Dry Etching
Ir, Pt, and Pb(Zr,Ti)O3 - Dry Etching
Iridium - Wet Etching
Iron - Wet Etching
Isotropic Atomic Layer Etching of ZnO - Dry Etching
Kodak's EB-5 Etchant - Cr Thin Films - Wet Etching
Krumm's Etchant - Al Thin Films Evaporated on GaAs, (100) Wafer Substrates
LAM 490 Etch Recipe - Dry Etching
LPCVD Polysilicon Deposition Recipes
LS Nitride2 Data 2014 - PECVD Etching - Dry Etching
LS Nitride2 Data 2015 - PECVD Etching - Dry Etching
LS Nitride2 Data 2016 - PECVD Etching - Dry Etching
LS Nitride2 Data 2017 - PECVD Etching - Dry Etching
LS Nitride2 Data 2018 - PECVD Etching - Dry Etching
LS Nitride2 Data 2019 - PECVD Etching - Dry Etching
LS Nitride2 Data 2019 - PECVD Etching - Dry Etching
LS Nitride2 Data 2020 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2014 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2015 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2016 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2017 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2018 - PECVD Etching - Dry Etching
LS Nitride2 Thickness Uniformity 2020 - PECVD Etching - Dry Etching
LS SiN 1000A Thickness Uniformity 2014 - PECVD Etching - Dry Etching
LS SiN Data 2014 - PECVD Etching - Dry Etching
LS SiON - PECVD Etching - Dry Etching
Laser Etching - Dry Etching
Laser-Asisted Etching of Compound Semiconductors
Laser-Asisted Etching of Elemental Semiconductors
Laser-Asisted Etching of Metals
Laser-Assisted Etching of Compound Semiconductors - Dry Etching
Laser-Assisted Etching of Elemental Semiconductors - Dry Etching
Laser-Assisted Etching of Insulating Films - Dry Etching
Laser-Assisted Etching of Metals - Dry Etching
Lead - Wet Etching
Lead Zirconate Titanate [Pb(ZrxTi1-x)03] (PZT) - Dry Etching
LiInS2 (001) Oriented Thin Films - Wet Etching
LiInS2 Thin Films on (111) Silicon Wafer Substrates - Wet Etching
LiN Thin Films - Wet Etching
LiNbO3 - Wet Etching
LiNbO3 Thin Film - Sputtering
LiNbO3, LN - Dry Etching
Magnesium - Wet Etching
Material Deposition Chart - Kurt J. Lesker Company
Materials Table I - E-Beam Evaporation
Materials Table II - E-Beam Evaporation
Materials Table III - E-Beam Evaporation
Materials Table IV - E-Beam Evaporation
Materials Table for Thermal Evaporator - Sputtering
Metal Nitride (MNx) Select Etch - Wet Etching
Metal Nitride Select Etch Chemistries - Wet Etching
Metals in Buffer HF/glycerol Solution - Wet Etching
Metals in HF/H20 Solution - Wet Etching
Mg, Mo- Wet Etching
Mg3N4 Thin Films Deposited on Mg Specimen Blanks - Wet Etching
MgO Thin Film - Dry Etching
MgO, MnO2, HgSe, HgTe, MoO3 - Wet Etching
Mn Thin Film Deposits on Ruthenium Substrates - Chemical Cleaning
Mn Thin Films - Chemical Polishing/Etching
Mo Thin Film - Dry Etching
Mo Thin Films - Wet Etching
Mo Thin Films and Crystalline Specimens - Metal Alloying
Mo Thin Films and Crystalline Specimens - Wet Etching
Mo Thin Films and Crystalline Specimens - Wet Etching
Mo, Mo2C, NiCuFe, NiCr - Wet Etching
Mo-Si-N Films - Dry and Wet Etching
Mo/Si Multilayer - Focused Helium and Neon Ion Beam - Dry Etching
MoB Surface Penetration Film - Wet Etching
MoN and Mo2N Thin Films Grown on (100) Silicon Wafers - Wet Etching
MoO3 Thin Film - Wet Etching
MoS2 - Wet Etching
MoSi2 Thin Films - Gas Forming
MoSi2 Thin Films Deposited on Silicon Substrates - Dry Etching
MoSi2 the Films Deposited on Silicon Substrates - Dry Etching
Moly Etchant - Wet Etching
Moly Etchant - Wet Etching
Moly Evaporated
Molybdenum - Wet Etching
Molybendum - Wet Etching
Nanoscale ICP Etching of SiO2 Using ZEP (Resist) Mask - ICP Etching - Dry Etching
Nb Thin Films Evaporated on Glass - Gas Oxidation
Nb2O5, SiO2, Si, SiC - Wet Etching
Nb3Ge Thin Films - Wet Etching
Nb3Ge Thin Films on (100) Ge Substrates - Wet Etching
Nb3Sn Amorphous Thin Films - Heat, Removal
NbC Thin Films - Wet Etching
NbH Deposited on Silicon Wafers - Wet Etching
NbN (100) Thin Films Deposited on NaCl - Ionized Gas Cleaning
Negative Resist (ASML DUV) - Dry Etching
Negative Resist (AutoStep 200) - Dry Etching
Negative Resist (GCA 6300) - Dry Etching
Ni - Wet Etching
Ni Evaporated Thin Films - Wet Etching
Ni Evaporated as an Au:Ni Coating on Resistors - Wet Etching
Ni Thin Film - Dry Etching
Ni Thin Film - Wet Etching
Ni Thin Film Evaporation on Glass - Wet Etching
Ni Thin Films - Wet Etching
Ni, Nb, Os, Pd - Wet Etching
Ni-Cr Evaporated Thin Films on (100) Si Wafers - Wet Etching
Ni-Cr Thin Film Deposition as a Bimetallic Layer of Au/Ni-Cr - Wet Etching
Ni-Cr as an Evaporated Thin Film on (100) Oriented Si Wafers - Wet Etching
NiCr TFN Etchant - Wet Etching
NiCr TFN Etchant - Wet Etching
NiCr, Ni - Wet Etching
NiO - Dry Etching
NiO Thin Film - Dry Etching
NiO Thin Film - Wet Etching
NiO Thin Film Platelets - Wet Etching
NiO with Lu2O3 - Electrochemical Etching
NiSi Thin Films Deposited on Silicon Substrates - Dry Etching
NiSi2 Thin Films Deposited on Silicon Wafers - Chemical Cleaning
NiSi2 Thin Films Grown on Silicon Substrates - Wet Etching
NiSi2, NbSi2, Pd2Si, PtSi - Wet Etching
Nickel - RIE Etching - Dry Etching
Nickel - Wet Etching
Nickel Evaporated
Niobium - Dry Etching
Niobium - Wet Etching
Niobium - Wet Etching
Niobium Ion Milled
Nitride2 Data 2014 - PECVD Etching - Dry Etching
Nitride2 Data 2015 - PECVD Etching - Dry Etching
Nitride2 Data 2016 - PECVD Etching - Dry Etching
Nitride2 Data 2017 - PECVD Etching - Dry Etching
Nitride2 Data 2018 - PECVD Etching - Dry Etching
Nitride2 Data 2019 - PECVD Etching - Dry Etching
Nitride2 Data 2020 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2014 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2015 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2016 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2017 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2018 - PECVD Etching - Dry Etching
Nitride2 Thickness Uniformity 2020 - PECVD Etching - Dry Etching
OCG Positive 820 Photoresist
Optimized Reactive Ion Etching of Parylene C by Pure O2 Plasma - Dry Etching
Optimized Recipe Used for the Cr Etching Process - Dry Etching
Other Wet Etchants - Wet Etching
Overview of Sulfite-Based Electrolytes: Composition, Process Parameters, and Applications
Oxide Data 2014 - PECVD Etching - Dry Etching
Oxide Data 2015 - PECVD Etching - Dry Etching
Oxide Data 2016 - PECVD Etching - Dry Etching
Oxide Data 2017 - PECVD Etching - Dry Etching
Oxide Data 2018 - PECVD Etching - Dry Etching
Oxide Data 2019 - PECVD Etching - Dry Etching
Oxide Data 2020 - PECVD Etching - Dry Etching
Oxide Ion-Milled
Oxide Layer Delineation Etchants and Etch Processes
Oxide PECVD Annealed
Oxide PECVD Unannealed
Oxide Thickness Uniformity 2014 - PECVD Etching - Dry Etching
Oxide Thickness Uniformity 2015 - PECVD Etching - Dry Etching
Oxide Thickness Uniformity 2016 - PECVD Etching - Dry Etching
Oxide Thickness Uniformity 2017 - PECVD Etching - Dry Etching
Oxide Thickness Uniformity 2018 - PECVD Etching - Dry Etching
Oxide Thickness Uniformity 2020 - PECVD Etching - Dry Etching
Oxide Wet-Etch Sensitivities
P Etchant - SiO2 Thin Films Deposited on (100) Silicon Wafers - Wet Etching
P2Cr5 Thin Film - Wet Etching
PDMS - Dry Etching
PECVD Process Parameters - Deposition
PECVD Silicon Nit. High RI
PECVD Silicon Nit. Low RI
PT/PLT Thin Film - Sputtering
Palladium - Wet Etching
Palladium - Wet Etching
Palladium Evaporated
Parameters Used in the Deposition of ZnO:Al Thin Films Using Oxygen in the Chamber
Parameters Used in the Deposition of ZnO:Al Thin Films at 200 C and 250 C and Without Oxygen
Parameters Used in the Deposition of ZnO:Al Thin Films with Substrate Heating and DC Biasing
Parameters Used in the Deposition of ZnO:Al Thin Films with the Mixture of Hydrogen and Argon
Particulates (Gain4) - 2015 - PECVD Etching - Dry Etching
Particulates (Gain4) - 2016 - PECVD Etching - Dry Etching
Particulates in PECVD Films 2017 - PECVD Etching - Dry Etching
Particulates in PECVD Films 2017 - PECVD Etching - Dry Etching
Particulates in PECVD Films 2018 - PECVD Etching - Dry Etching
Particulates in PECVD Films 2019 - PECVD Etching - Dry Etching
Particulates in PECVD Films 2020 - PECVD Etching - Dry Etching
Particulates in PECVD1 films - 2018 - PECVD Etching - Dry Etching
Particulates in PECVD1 films - 2019 - PECVD Etching - Dry Etching
Particulates in PECVD1-2015 - PECVD Etching - Dry Etching
Particulates in PECVD1-2016 - PECVD Etching - Dry Etching
Particulates in PECVD1-2017 - PECVD Etching - Dry Etching
Particulates in Unaxis Films 100C-2019 - PECVD Etching - Dry Etching
Particulates in Unaxis Films 250C-2019 - PECVD Etching - Dry Etching
Parylene Type C
Patterned Cr on Au Evaporated
Pb(ZrTi)O3 (PZT) - Wet Etching
PbI2 as Thin Crystal Platelets - Wet Etching
PbTe Layer - Wet Etching
PbTe Thin Film - Acid Float-off
PbTe and PbSnSeTe Thin Films - Dry Etching
PbTe and PbSnSeTe Thin Films - Wet Etching
PbTe, PZT, LiNbO3, MgAl2O4 - Wet Etching
PbZrxTi(1-x)O3 or PZT - Wet Etching
Pd - Wet Etching
Pd, NiFeMo, Pt - Wet Etching
PdSi and PdSi2 Thin Films Grown on Silicon Substrates - Wet Etching
Phos + Sulf Etchant - Wet Etching
Photoresist (AZ type) - Wet Etching
Photoresist Removal Processes
Photoresist Removal Processes
Pinhole Detection Etchants and Etch Processes
Plasma-Enhanced Chemical Vapor Deposition Parameters for Silicon Dioxide and Silicon Nitride Thin Films Deposited Using a Plasma-Therm 790
Plasma-Etching of AlGaAs DBR Structure - ICP Etching - Dry Etching
Platinum - RIE Etching - Dry Etching
Platinum - Wet Etching
Platinum - Wet Etching
Platinum - Wet Etching
Platinum - Wet Etching
Platinum Evaporated
Pliskin's Etchant - SiO2 Thin Films Deposited on (100) Silicon Wafers - Wet Etching
Poly-Si Etch Rate Under Various Ratio of NH4F/H2O2 - Wet Etching
Poly-Si(1-x)Gex:B (0 x 1) - Dry Etching
Poly-SiGe on a Patterned Oxide/Nitride Laminate
Polymer I - Wet Etching
Polymer II - Wet Etching
Polysilicon Thin Films - Dry Etching
Porous Silicon Formation Rates and Processes I
Porous Silicon Formation Rates and Processes II
Positive Resist (ASML DUV) - Dry Etching
Positive Resist (AutoStep 200) - Dry Etching
Positive Resist (GCA 6300) - Dry Etching
Prelithography Cleaning Process (Pre-Spin)
Prelithography Cleaning Process (Pre-Spin)
ProLift Layer - Dry Etching
Process Parameters for RuO2 Etching - Dry Etching
Properties of Annealed PSG and LTO Films
Pt Thin Film - Acid, Float-off
Pt Thin Film - Dry Etching
Pt Thin Films - Wet Etching
Pt, Re, Rh, Ru, Ag - Wet Etching
Pt-Pd Thin Films - Wet Etching
Pt2Si Thin Films Formed on Silicon, (111) and (100) n-Type Wafers - Metal Deposition
PtO Crystalline Thin Films - Wet Etching
PtSi Thin Films Deposited on Silicon - Dry Etching
PtSi Thin Films Grown on Silicon Substrates - Wet Etching
PtSi, ReSi, RhSi, Ru2Si3, TaSi2 - Wet Etching
Pure Aluminum Recipe - Dry Etching
QCL Etching - SiO2 - Recipe
RIE Etchant - TiW Thin Films - Dry Etching
RIE Etching of SI and N-type 4H-SiC with SF6 - Dry Etching
Rapid Thermal Annealing Effect on Electric and Optical Properties of Room-Temperature-Deposited ITO Film
Rare Earth Indium Etchants - Wet Etching
Reactive Gas for RIE
Reactive Ion Etching of InP Using Hydrocarbon - Dry Etching
Reactive Ion Etching of Parylene C by Pure O2 Plasma - Dry Etching
Recipe for Photolithography Using NR7-1000P Negative Photoresist - Dry Etching
Recipe of the Optimized RIE Process for SiO2/Si Etching - Dry Etching
Recipes for SiO2 Deposition and Etching - Dry Etching
Removing the Resist Residues - Dry Etching
Review of Different GaN Etching Techniques - Wet Etching
Rhenium, Rhodium and Ruthenium - Wet Etching
Rinse Liquid Removal Processes I
Rinse Liquid Removal Processes II
Ru - ICP Etching - Dry Etching
Ru Thin Film - Dry Etching
Ru Thin Film - Wet Etching
RuO2 - Dry Etching
Ruthenium - Wet Etching
Ruthenium - Wet Etching
S1822 Positive Photoresist
STD LSNitride - PECVD Etching - Dry Etching
STD LSNitride - PECVD Etching - Dry Etching
STD LSNitride - PECVD Etching - Dry Etching
Sacrificial Etch Accelerator Layers and Removal Processes
Sacrificial Layer Removal for Alternative Structural and Sacrificial Layer Combinations I
Sacrificial Layer Removal for Alternative Structural and Sacrificial Layer Combinations II
Sacrificial Layer Removal for Alternative Structural and Sacrificial Layer Combinations III
Sacrificial Layer Removal for Alternative Structural and Sacrificial Layer Combinations IV
Sacrificial Layer Removal for Polysilicon Microstructures
Sapphire - ICP Etching - Dry Etching
Sb, As, BeO, B, BN - Wet Etching
Sc2O3 Thin Film - Wet Etching
ScD as Thin Films - Wet Etching
Se Deposits Remaining on the (TTT)B Surface of HgSe Wafers - Wet Etching
Se Residual Film Left on CdSe Polycrystalline Thin Films - Wet Etching
Se Thin Films - Acid, Float-off
Seeco's Etchant - SiO2 Thin Films Grown on Silicon, (100), n-Type Substrates - Wet Etching
Select Examples of Hard Magnetic Films
Selective Porous Silicon Removal Rates and Processes
Si Poly-Si Epitaxy Deposited Thin Films - Chemical Cleaning
Si Thin Film Deposition on Germanium Substrates - Wet Etching
Si and SiO(x)N(y) DC Sputtered Thin Films on (111) Silicon Wafers - Wet Etching
Si as Poly-Si Thin Film on Silicon Wafers - Ionizde Gas Structuring
Si as Poly-Si Thin Films - Wet Etching
Si(100) Etching Rates (80 C) - Wet Etching
Si-N Thin Film - Sputtering
Si-N and Si-O Passivation Films - Sputtering
Si-Rich Si Nit. LPCVD
Si/SiO2/Si - ICP Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 - PECVD Etching - Dry Etching
Si3N4 Amorphous Thin Films - Wet Etching
Si3N4 Data December 2014 - Sputtering
Si3N4 Deposited as Pyrolytic Thin Films - Wet Etching
Si3N4 Oxynitrides and SiO2 DC/RF Sputtered Thin Films - Chemical Cleaning
Si3N4 Thickness Uniformity 2014 - Sputtering
Si3N4 Thin Film Amorphous Deposits on Silicon Wafer Substrates - Wet Etching
Si3N4 Thin Films - Chemical Cleaning
Si3N4 Thin Films - Wet Etching
Si3N4 Thin Films Deposited by CVD on (100) Silicon Substrates - Wet Etching
Si3N4 Thin Films Deposited by PECVD - Wet Etching
Si3N4 Thin Films Deposited on Silicon Substrates - Wet Etching
Si3N4 and Oxynitride Thin Films - Chemical Cleaning
Si3N4 and Oxynitride Thin Films on Silicon - Wet Etching
Si3N4 and Oxynitride Thin Films on Silicon - Wet Etching
Si3N4 and Oxynitrides Deposits on (111) Silicon - Wet Etching
Si3N4 and Oxynitrides Grown as Thin Films by DC Sputtering on (111) Silicon Wafers - Wet Etching
Si3N4 and Oxynitrides as DC Sputtered Thin Film Deposits on (111) Silicon, n-Type, 5-10 Ohm cm Resistivity Wafers - Wet Etching
Si3N4, Oxynitrides and SiO2 Thin Films - Chemical Cleaning
Si3N4, SiO2, Si - Etching Results in SF6/CH4/N2/O2 Plasmas - Dry Etching
Si3N4, SiO2, Si - Etching Results in CF4/H2 Plasmas - Dry Etching
Si3N4, SiO2, Si - Etching Results in CF4/O2/N2 Plasmas - Dry Etching
Si3N4, SiO2, Si - Etching Results in SF6/CH4/N2 Plasmas - Dry Etching
Si3N4, SiO2, Si - Etching Results in SF6/O2/N2 Plasmas - Dry Etching
SiC (0001) Thin Films Grown on (100) Silicon Substrates - Chemical Cleaning
SiC Epitaxy Thin Films - Molten Flux, Dislocation
SiC Thin Film - Sputtering
SiC Thin Film - Sputtering
SiC Thin Films - Electrolytic Etching
SiC Thin Films Grown on Si (100) Wafers - Gas Doping
SiC Thin Films Vapor Deposited on Silicon Wafers - Moletn Flux Etching
SiN 100C - PECVD Etching - Dry Etching
SiN 100C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiN 100C Table-2019 - PECVD Etching - Dry Etching
SiN 250C 300 nm Data-2020 - PECVD Etching - Dry Etching
SiN 250C Table-2020 - PECVD Etching - Dry Etching
SiN Data 2014 - PECVD Etching - Dry Etching
SiN Data 2015 - PECVD Etching - Dry Etching
SiN Data 2016 - PECVD Etching - Dry Etching
SiN Data 2017 - PECVD Etching - Dry Etching
SiN Data 2017 - PECVD Etching - Dry Etching
SiN Data 2018 - PECVD Etching - Dry Etching
SiN Data 2019 - PECVD Etching - Dry Etching
SiN Data 2020 - PECVD Etching - Dry Etching
SiN LS 250C 300 nm Data-2020 - PECVD Etching - Dry Etching
SiN LS 250C Table-2020 - PECVD Etching - Dry Etching
SiN(x) and SiO2 Thin Films - Dry Etching
SiN(x) and SiO2 Thin Films - Wet Etching
SiN-1000 A Thickness Uniformity 2014 - PECVD Etching - Dry Etching
SiN-1000 A Thickness Uniformity 2015 - PECVD Etching - Dry Etching
SiN-1000 A Thickness Uniformity 2016 - PECVD Etching - Dry Etching
SiN-1000 A Thickness Uniformity 2017 - PECVD Etching - Dry Etching
SiN-300 A Thickness Uniformity 2017 - PECVD Etching - Dry Etching
SiN-300 A Thickness Uniformity 2018 - PECVD Etching - Dry Etching
SiN-300 A Thickness Uniformity 2019 - PECVD Etching - Dry Etching
SiN-300 A Thickness Uniformity 2020 - PECVD Etching - Dry Etching
SiNx - PECVD Etching - Dry Etching
SiNx - RIE Etching - Dry Etching
SiNx:H Thin Films - Dry Etching
SiO(x)N-H and Si-H Thin Films - Solvent Cleaning
SiO2 - Dry Etching
SiO2 - ICP Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - PECVD Etching - Dry Etching
SiO2 - RIE Etching - Dry Etching
SiO2 - RIE Etching - Dry Etching
SiO2 - RIE Etching - Dry Etching
SiO2 - Wet Etching
SiO2 100 nm Data 2014 - PECVD Etching - Dry Etching
SiO2 100 nm Data 2015 - PECVD Etching - Dry Etching
SiO2 100 nm Data 2016 - PECVD Etching - Dry Etching
SiO2 100 nm Data 2017 - PECVD Etching - Dry Etching
SiO2 100 nm Thickness Uniformity 2014 - PECVD Etching - Dry Etching
SiO2 100 nm Thickness Uniformity 2015 - PECVD Etching - Dry Etching
SiO2 100 nm Thickness Uniformity 2016 - PECVD Etching - Dry Etching
SiO2 100 nm Thickness Uniformity 2017 - PECVD Etching - Dry Etching
SiO2 300 nm Data 2017 - PECVD Etching - Dry Etching
SiO2 300 nm Data 2018 - PECVD Etching - Dry Etching
SiO2 300 nm Data 2019 - PECVD Etching - Dry Etching
SiO2 300 nm Data 2020 - PECVD Etching - Dry Etching
SiO2 300 nm Thickness Uniformity 2017 - PECVD Etching - Dry Etching
SiO2 300 nm Thickness Uniformity 2018 - PECVD Etching - Dry Etching
SiO2 300 nm Thickness Uniformity 2019 - PECVD Etching - Dry Etching
SiO2 300 nm Thickness Uniformity 2020 - PECVD Etching - Dry Etching
SiO2 Data-15 min Depositions 2015 - Sputtering
SiO2 Deposited as CVD Thin Films on (100) Silicon Substrates - Wet Etching
SiO2 Deposited on Silicon Wafer Substrates
SiO2 Deposition - Sputtering
SiO2 Deposition on Aluminum and Quartz Blanks or Silicon Wafers - Wet Etching
SiO2 Drawn for Fiber Optics and Laser Applications - Organic Coating
SiO2 Grown as a Hydrated Oxide on Silicon Wafers - Electrolytic Oxidizing
SiO2 Grown as a Hydrated Oxide on Silicon Wafers - Acid Oxidation
SiO2 Grown as a Hydrated Oxide on Silicon Wafers - Acid Oxidation
SiO2 Grown as a Hydrated Oxide on Silicon Wafers - Acid Oxidation
SiO2 Grown on IC Devices - Wet Etching
SiO2 HDR 100C - PECVD Etching - Dry Etching
SiO2 HDR 100C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiO2 HDR 100C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiO2 HDR 250C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiO2 HDR 250C Table-2019 - PECVD Etching - Dry Etching
SiO2 LDR 100C - PECVD Etching - Dry Etching
SiO2 LDR 100C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiO2 LDR 100C Table-2019 - PECVD Etching - Dry Etching
SiO2 LDR 250C 300 nm Data-2019 - PECVD Etching - Dry Etching
SiO2 LDR 250C 300 nm Data-2020 - PECVD Etching - Dry Etching
SiO2 LDR 250C Table-2019 - PECVD Etching - Dry Etching
SiO2 Laye - Dry Etching
SiO2 Layer Deposition by Plasma-Enhanced Chemical Vapour Deposition (PECVD)
SiO2 Nano-Structure Etch - RIE Etching - Dry Etching
SiO2 Thermally Oxidized Thin Films on p-Type (100) Silicon Wafers - Metal Decoration
SiO2 Thickness Uniformity-15 min Depositions 2015 - Sputtering
SiO2 Thin Film - Wet Etching
SiO2 Thin Film - Wet Etching
SiO2 Thin Film Coatings - Oxide, Growth
SiO2 Thin Film Deposited on InP (100) Wafer Substrates - Wet Etching
SiO2 Thin Film Deposits - Dry Etching
SiO2 Thin Film Deposits - Wet Etching
SiO2 Thin Film Deposits - Wet Etching
SiO2 Thin Film Deposits on Silicon Wafer - Wet Etching
SiO2 Thin Film Layers Grown on Silicon - Wet Etching
SiO2 Thin Film Oxidation of Silicon, (111) n-Type Wafers - Wet Etching
SiO2 Thin Film RF Sputtered
SiO2 Thin Films 160 nm Thick - Wet Etching
SiO2 Thin Films Deposited by a Special Technique - Wet Etching
SiO2 Thin Films Deposited in Etched Grooves of (100) Silicon Wafers - Wet Etching
SiO2 Thin Films Deposited on (100) Silicon Substrates - Dislocation Etching
SiO2 Thin Films Deposited on (100) Silicon Wafers - Wet Etching
SiO2 Thin Films Deposited on (100) Silicon Wafers - Wet Etching
SiO2 Thin Films Deposited on (100) Silicon Wafers - Dry Etching
SiO2 Thin Films Deposited on (100) Silicon Wafers - Metal Decoration
SiO2 Thin Films Deposited on (111), p-Type, 1-3 Ohm cm Resistivity Wafers - Wet Etching
SiO2 Thin Films Deposited on Silicon (100) - Wet Etching
SiO2 Thin Films Deposited on Silicon Substrates - Wet Etching
SiO2 Thin Films Deposited on Silicon Substrates - Wet Etching
SiO2 Thin Films Deposited on Silicon Wafers
SiO2 Thin Films Deposited on Silicon Wafers - Wet Etching
SiO2 Thin Films Deposited on Silicon Wafers - Wet Etching
SiO2 Thin Films Deposited on a Variety of Substrates/Surfaces - Oxide, Adhesive Coat
SiO2 Thin Films Deposition on (100) Silicon Wafers - Oxide, Growth
SiO2 Thin Films Grown on (100) Silicon Wafers - Dry Etching
SiO2 Thin Films RF Sputter Deposited in Argon on (100) Oriented Silicon Wafers - Wet Etching
SiO2 Thin Films RF Sputtered 200-700 nm Thick on (100) Silicon Wafers - Wet Etching
SiO2 Thin Films and Native Oxides - Wet Etching
SiO2 Thin Films on Si (100) Wafers - Wet Etching
SiO2 Thin Films on Si (100) Wafers - Wet Etching
SiO2 Thin Films on Silicon Wafers as Doped BPSG
SiO2 Thin Films on Various Substrates - Chemical Cleaning
SiO2 Tin Film Oxidation of Silicon at 1200?C - Wet Etching
SiO2 and BCB - Dry Etching
SiO2 and Si3N4 Thin Films Deposited on Silicon - Wet Etching
SiO2 as Thermal Oxidation on Silicon Wafers - Wet Etching
SiO2 as Thin Film Deposits - Wet Etching
SiO2 as a Residual PSG Surface Film - Wet Etching
SiO2, Ag2O, TaC, TaN, Ta2O5, Te, SnO2 - Wet Etching
SiO2, BCB, InP - Wet Etching
SiOx Vert - ICP Etching - Dry Etching
SiOxNy Data 2014 - PECVD Etching - Dry Etching
SiOxNy Data 2014 - PECVD Etching - Dry Etching
SiV2 Thin Films - Chemical Etching/Polishing
Silica Nanopillars - Dry Etching
Silicon - RIE Etching - Dry Etching
Silicon - RIE Etching - Dry Etching
Silicon - RIE Etching - Dry Etching
Silicon Dioxide (SiO2) - ALE Etching
Silicon Dioxide Etchants and Etch Processes
Silicon Dioxide Etchants and Etch Processes
Silicon Dioxide Wet Etchants - Wet Etching
Silicon Dioxide/Quartz/Glass - Wet Etching
Silicon Etchant - Polycrystalline Silicon - Wet Etching
Silicon Nitride - Dry Etching
Silicon Nitride - Wet Etching
Silicon Nitride Deposition Recipe - Deposition
Silicon Nitride Etch Process - Dry Etching
Silicon Nitride Etchants and Etch Processes
Silicon Nitride Etchants and Etch Processes
Silicon Nitride Thin Film - Dry Etching
Silicon Nitride Wet Etchant - Wet Etching
Siliconoxynitride Layer Deposition Condition
Siliconoxynitride Layer Etching Condition - Dry Etching
Silver - Wet Etching
Silver - Wet Etching
Silver Evaporated
Silver Thin Film - Dry Etching
Single-Step Si Etch (not Bosch Process!) using DRIE - ICP Etching - Dry Etching
Sn Electroplated Thin Film - Wet Etching
Sn Electroplated Thin Film - Wet Etching
Sn, Ti, W, V - Wet Etching
SnO2 Thin Films - Wet Etching
SnO2 Thin Films Deposited by CVD
SnO2 Thin Films Deposited on 1 mm Glass Slides - Wet Etching
SnO2 Thin Films Deposited on SiO2 Coated Silicon Wafer - Electrolytic Etching
SnTe Amorphous Thin Films - Wet Etching
SnTe Thin Films Grown on Muscovite Mica (0001) Substrates - Acid, Float-off
Some Material Properties for Select Polymers
Some Sulfate and Sulfate-Chloride Based Ni?Fe Electrolytes for Microfabrication
Spin-On PI 2556 Polyimide
Sputter Deposition Parameters for Piezoelectric AlN and ZnO Thin Films
Sputtering Conditions (Multi Targets) (Pb, La, Zr, Ti)
Sputtering Conditions (Single Target)
Sputtering Conditions and Physical Properties of Sputtered Si-N Films
Sputtering Conditions for Depositing Diamond Thin Films
Sputtering Conditions for Deposition of WC Thin Films
Sputtering Conditions for Nanometer PT/PLT Multilayers
Sputtering Conditions for PMN-PT Thin Films
Sputtering Conditions for PMnN-PZT Thin Films
Sputtering Conditions for the Preparation of Amorphous LiNbO3 Thin Films
Sputtering Conditions for the Preparation of Amorphous SiC Thin Films
Sputtering Conditions on Vicinal ST Substrates
Sputtering Recipes - Sputtering
Sputtering Recipes - Sputtering
Sputtering Recipes - Sputtering
Sr3Al2O6 - Dry Etching
SrBi2Ta2O9 (SBT) - Dry Etching
SrBi2TaxNb(2-x)O9 - Dry Etching
SrF2 (100) Thin Film Deposited on GaAs Substrates - Wet Etching
SrF2 and Ba(x)Sr(1-x)F2 Thin Films and SrF2 (100) Wafers - Wet Etching
SrTiO3 (ST) Substrate - Sputtering
Stainless Steel - Wet Etching
Stainless Steel - Wet Etching
Stainless Steel, Ta, Th, Sn - Wet Etching
Standard Au Etch Process Parameters - Dry Etching
Standard Metal (Al, Ti, W) Etchants and Etch Processes
Stoich. Si Nit. LPCVD
Strontium-Titanate-Oxide (STO) Thin Film - Dry Etching
Summary of Properties of Compound Films Prepared by DC Magnetron Sputtering in a Strong Magnetic Field
Summary of Properties of Compound Films Prepared by dc-Magnetron Sputtering in a Strong Magnetic Field
Summary of Wet Etching Techniques for AlN and ZnO Thin Films
Summary of Wet Etching Techniques for AlN and ZnO Thin Films
Summary of the Dry Etching Characteristics of Various Piezoelectric Materials
Superlattice Structure Nb/NbN Thin Film - Sputtering
Ta - ICP Etching - Dry Etching
Ta Thin Films - Wet Etching
Ta Thin Films Converted to Ta2O5 and TaN - Wet Etching
Ta2O3 Thin Films - Wet Etching
Ta2O5 - Wet Etching
Ta2O5 - Wet Etching
Ta2O5 Data December-1 hr Depositions 2015 - Sputtering
Ta2O5 Data December-1 hr Depositions 2016 - Sputtering
Ta2O5 Data December-15 min Depositions 2015 - Sputtering
Ta2O5 Thickness Uniformity-1 hr Depositions 2016 - Sputtering
Ta2O5 Thickness Uniformity-15 min Depositions 2015 - Sputtering
Ta2O5 Thin Film - Dry Etching
Ta2O5 Thin Film - Wet Etching
Ta2O5 Thin Films - Gas, Stabilizing
TaC, Electrolytic Alloy Deposited Thin Films on Different Substrates - Wet Etching
TaC, Electrolytic Alloy Deposited Thin Films on Different Substrates - Wet Etching
TaH Thin Films Grown on (100) - Electrolytic Etching
TaN Thin Film Deposits - Chemical Polishing
TaN Thin Films - Wet Etching
TaN Thin Films - Wet Etching
TaN Thin Films - Wet Etching
TaSi2 Thin Films - Wet Etching
TaSi2 Thin Films - Wet Etching
TaSi2 Thin Films 2500-2800 A - Wet Etching
TaSi2 Thin Films Deposited on Silicon (100) - Chemical Cleaning
TaSi2 Thin Films Deposited on Silicon - Dry Etching
TaSi2 Thin Films Deposited on Silicon Substrates - Dry Etching
TaSi2 as Thin Films - Dry Etching
TaSi2, Ti2Si3, TiWSi, WSi2 - Wet Etching
TaW Thin Films - Solvent Removal
Table Recipe SiO2 - PECVD Etching - Dry Etching
Table STD LS Nitride - PECVD Etching - Dry Etching
Table STD Oxide - PECVD Etching - Dry Etching
Table recipe SiO2 - PECVD Etching - Dry Etching
Tantalum - Wet Etching
Tantalum - Wet Etching
Tantalum Evaporated
Tantalum Ion-Milled
Tantalum Pentoxide (Ta2O5) - Dry Etching
TeO2 - Dry Etching
The Comparison of Parylene C Etching Performance Using Different Metal Masks
The Dependence of the Silicon Nitride Etch Rate on Total Flow for a Fixed CF4 /O2 Ratio of 10/3 - Dry Etching
The Dependence of the Silicon Nitride Etch Rate on the O2 /CF4 Ratio - Dry Etching
The Etch Rates of GaN and AlN as a Function of Pressure - Dry Etching
The Etch Rates of GaN and AlN, and the Selectivity of GaN over AlN as a Function of ICP Power - Dry Etching
The Etch Rates of GaN, Al.28Ga.72N, and AlN as a Function of DC Bias - Dry Etching
The Etch Rates of GaN, Al.28Ga.72N, and AlN as a Function of ICP Power - Dry Etching
The Selectivity of GaN Relative to Al.28Ga.72N and AlN as a Function of DC Bias - Dry Etching
The Selectivity of GaN over AlN as a Function of Pressure - Dry Etching
Thermal Oxide Wet-Grown
Thickness Uniformity SiN 100C 300 nm-2019 - PECVD Etching - Dry Etching
Thickness Uniformity SiN 250C 300 nm-2020 - PECVD Etching - Dry Etching
Thickness Uniformity SiN LS 250C 300 nm-2020 - PECVD Etching - Dry Etching
Thickness Uniformity SiO2 HDR 100C 300 nm-2019 - PECVD Etching - Dry Etching
Thickness Uniformity SiO2 HDR 250C 300 nm-2019 - PECVD Etching - Dry Etching
Thickness Uniformity SiO2 LDR 100C 300 nm-2019 - PECVD Etching - Dry Etching
Thickness Uniformity SiO2 LDR 250C 300 nm-2019 - PECVD Etching - Dry Etching
Thickness uniformity-Unaxis SiO2 HDR 250C - 2020 - PECVD Etching - Dry Etching
Thickness uniformity-Unaxis SiO2 LDR 250C- 2020 - PECVD Etching - Dry Etching
Thin Film Deposition Process
Thin Film Deposition Process for Pb0.8 La0.2TiO2.95
Thin Film Evaporation - Common Materials Reference and Guide
Thin-Film Etch Stops for Anisotropic Silicon Etching I
Thin-Film Etch Stops for Anisotropic Silicon Etching II
Thin-Film Etch Stops for Anisotropic Silicon Etching III
Ti Etch - Wet Etching
Ti Evaporated as Thin Films - Wet Etching
Ti Specimens and Thin Films - Wet Etching
Ti Specimens and Thin Films - Wet Etching
Ti Specimens and Thin Films - Wet Etching
Ti Thin Film Deposit - Wet Etching
Ti Thin Film Deposit - Wet Etching
Ti Thin Film Evaporation in Vacuum Systems - Wet Etching
Ti Thin Films - Electrolytic Oxidation
Ti Thin Films - Wet Etching
Ti Thin Films - Wet Etching
Ti-Al-N Thin Film - Sputtering
Ti-Al-N Thin Film - Sputtering
Ti-TiAg-Ag Thin Films - Wet Etching
Ti2O3 Dpecimens and Thin Films - Wet Etching
TiC (100) Thin Films - Electrolytic Polishing
TiD2 as Thin Films - Wet Etching
TiN - Wet Etching
TiN Etch/Removal with Broad Capability - Wet Etching
TiN Etch/Removal with Broad Capability - Wet Etching
TiN Sputtered
TiN Thin Film - Sputtering
TiN Thin Films Deposited on (100) Silicon Wafers - Wet Etching
TiN Thin Films Deposited on Poly Si - Dry Etching
TiN Thin Films Deposited on Poly-Si - Wet Etching
TiN Thin Films Deposited on Silicon Wafers - Wet Etching
TiN Thin Films Deposited on Ti (0001) Substrates - Wet Etching
TiN and TaN - Dry Etching
TiN/WN Superlattice Thin Film - Dry Etching
TiO2 - Dry Etching
TiO2 Thin Film Deposited on GaAs (100) Substrates - Wet Etching
TiO2 Thin Film Deposits - Wet Etching
TiO2 Thin Films Deposited on (111), n-Type Silicon Substrates - Wet Etching
TiO2 Thin Films Deposited on GaAs (100) Substrates - Ionized Gas Cleaning
TiO2 as a Native Oxide on Titanium Substrates - Wet Etching
TiO2, TiN, V2O5, ZnO - Wet Etching
TiSi Thin Films Grown on (100) Silicon Wafers - Wet Etching
TiSi2 - Wet Etching
TiSi2 Thin Film Formed on Silicon (100) Substrates - Wet Etching
TiSi2 Thin Film Grown on Si Substrates - Wet Etching
TiSi2 Thin Films Deposited on Silicon Wafers - Dry Etching
TiSi2 Thin Films Grown on Silicon Substrates - Acid Oxidation
TiW - ICP Etching - Dry Etching
TiW Thin Films - Wet Etching
Tin - Wet Etching
Titanium (Ti) - Wet Etching
Titanium (Ti), Tungsten (W) - Wet Etching
Titanium - ICP Etching - Dry Etching
Titanium - Wet Etching
Titanium Etchant - Wet Etching
Titanium Sputtered
Transparent Conducting Films - Sputtering
Tri-Iodine Etchant - Au Thin Film Deposits as a Multilayer Au/Ni/Au/TiW/Si(100) Substrate - Wet Etching
Tungsten - Wet Etching
Tungsten - Wet Etching
Tungsten - Wet Etching
Tungsten Sputtered
Tungsten Thin Film - Wet Etching
Typical Etch Profile Using Ni as the Etch Mask Under Base Conditions - Dry Etching
Typical Plasma Etch Recipes Including Gas Chemistry Used, Etch Rates Obtained, and Equipment Type
Typical Sputtering Conditions for Depositing ZnSe Thin Films
Typical Sputtering Conditions for SiC Thin Films
Typical Sputtering Conditions for the Deposition of the Superlattice Structure
Unan. LTO LPCVD Tylan
Unan. PSG LPCVD Tylan
Unaxis SiN 250?C Deposition - PECVD Etching - Dry Etching
Unaxis SiO2 HDR 250C 300 nm-2020 - PECVD Etching - Dry Etching
Updated Au Etch Process Parameters - Dry Etching
V, Y, Zn, Zr - Wet Etching
VAl3 Deposited on Silicon, (001) Substrates - Chemical Cleaning/Etching
VSi2 Thin Films
Vanadium - Wet Etching
Vanadium Sputtered
Vapor-Pressure Chart - E-Beam Evaporation
Variations for CHF3-Based SiO2 Etching - ICP Etching - Dry Etching
Various Processes for Multilayer Conductor Layers with Vias
Vertical SiO2 Etch - ICP Etching - Dry Etching
Vertical Side-wall SiO2 Etching Profile - ICP Etching - Dry Etching
W Thin Film Evaporated on Silicon (100) Substrates - Dry Etching
W Thin Film Evaporation in Vacuum Systems - Wet Etching
W Thin Films - Dry Etching
W Thin Films - Wet Etching
W Thin Films - Wet Etching
W Thin Films - Wet Etching
W Thin Films Deposited by Sputtering - Ionized Gas Entrapment
W2O3(PO4)2 as an Amorphous Glassy Thin Film - Wet Etching
WC Thin Film - Sputtering
WO(x) (x=3) Thin Film
WO3 Thin Film Deposited on 2100 A SnO2 on a Glass Substrate - Wet Etching
WSi2 Thin Films - Wet Etching
WSi2 Thin Films Grown on Silicon Substrates - Dry Etching
WSi2, VSi2, V3Si, ZrSi2, CoSi2, CrSi2, Ir3Si5, NiSi2, Ru2Si3 - Wet Etching
WSi2Thin Films
WSix/poly-Si - Dry Etching
WTi Thin Film - Wet Etching
Wet Etch Rates of Thin and Bulk ZnO - Wet Etching
Wet Etched SiO2 Mask - Recipe
Wet Etching of Molybdenum Films
Wet Etching of Thin Films
Wet and Dry Etchants of Thin Metal Films and Dielectric Insulators
XeF2 Etcher - RIE Etching - Dry Etching
Y2O3 - Dry Etching
Y2O3 Thin Film - Dry Etching
Zinc - Wet Etching
Zinc Oxide - Wet Etching
Zirconium - Wet Etching
Zn-DLC Thin Film - Wet Etching
ZnO - Wet Etching
ZnO - Wet Etching
ZnO - Wet Etching
ZnO Thin Film - Dry Etching
ZnO Thin Film - Dry Etching
ZnO Thin Film - Wet Etching
ZnO, ZnSe, ZnS, ZnTe, ZrO2 - Wet Etching
ZnO:Al Thin Film - Wet Etching
ZnS - RIE Etching - Dry Etching
ZnSe Thin Film - Sputtering
ZnSe Thin Films - Chemical Cleaning/Polishing
ZnSe/GaAs - Wet Etching
ZnSnP2 Thin Films Grown on GaAs - Wet Etching
ZnTe - Dry Etching
ZnTe Thin Films - Acid, Float-off
ZnTe Thin Films - Wet Etching
ZnTe:As - Wet Etching
Zr Thin Film - Wet Etching
ZrN Thin Film - Wet Etching
ZrN Thin Films - Chemical Cleaning
ZrO2 - Dry Etching
ZrO2 Thin Film - Dry Etching
ZrO2 Thin Film - Wet Etching
ZrO2 Thin Film Deposits - Electrolytic Polishing
ZrOx - Dry Etching
a-Ge Thin Film Grown on NaCl - Acid, Float-off
a-Ge Thin Films Deposited on NaCl - Acid, Float-off
a-Ge as Thin Film Material Deposited on NaCl (100) Substrates - Float-off
a-Ge-H and a-Si-H Hydrogenated Thin Films - Chemical Cleaning/Etching
a-Si-H Thin Film Deposited on an a-SiO(x)N(y)H Thin Film - Wet Etching
a-Si-H Thin Films - Electrical Defect
a-Si-H Thin Films Grown on SiO2, Al2O3, and ZrO2 Substrates - Wet Etching
a-Si3N4-H Thin Films - Dry Etching
a-SiC-H Amorphous Thin Films - Dry Etching
a-SiC-H Amorphous Thin Films 500-3500 A Thick - Wet Etching
a-SiC-H Thin Films - Metal Pinhole Decoration
a-SiC-H Thin Films - Wet Etching
a-SiC-H Thin Films Deposited on Si (100) Substrates - Wet Etching
a-SiC-H Thin Films Deposited on Si (100) Substrates - Wet Etching
a-SiN-H Thin Films Deposited on (100) Silicon and Germanium Wafers - Wet Etching
a-SiO2 Thin Films Used as a Diffusion Mask on Silicon Wafers - Thermal Conversion
a-WO3 Thin Films 499-8500 A Thick - Wet Etching
n-type GaN - Dry Etching
p-type GaAs(100) - Dry Thin Films Deposition

Copyright © 2020 by Steel Data. All Rights Reserved.