//www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd"> Common IC Processing Terms
     

Common IC Processing Terms

50:1 Etch – 50 parts DI water to 1 part HF: slow silicon dioxide etch used to remove native oxide.

4PP – four point probe: resistivity characterization tool, used to determine general doping levels of wafers/diffused areas.

Acetone - [CH3]2CO: a colorless, volatile, and extremely flammable liquid used as a solvent and as a reagent.

Alignment mark: an image selectively placed within or outside an array for either testing or aligning, or both. [ASTM F127-84] Also called alignment key and alignment target.

Ammonium fluoride - NH4F: a white crystalline salt used to buffer hydrofluoric acid etches that dissolve silicon dioxide but not silicon. An example of such an etch is the buffered oxide etch.

Ammonium hydroxide - NH4OH: a weak base formed when ammonia is dissolved in water.

Angstrom - Å: unit of linear measure equal to one ten billionths of a meter (10-10 m). (The diameter of a human hair is approximately 750,000 Å.) The preferred SI unit is nanometers. 10 Å=1 nm.

Anneal: a high-temperature operation that relieves stress in silicon, activates ion-implanted dopants, reduces structural defects and stress, and reduces interface charge at the siliconsilicon dioxide interface.

Ashing: process of removing photoresist with oxygen plasma.

BN – boron nitride: inert ceramic machined into discs used for predeposition of boron. Before use the wafers must be oxidized to form a volatile compound to transfer boron to a wafer.

B2O3 – boron oxide: volatile oxide used to transfer boron to a wafer.

BOE – buffered oxide etch: an extremely hazardous corrosive used to etch silicon dioxide from a wafer. This acid has a 20- to 30-minute reaction delay after contact with skin or eyes.

BSG – boro-silicate glass: silicon dioxide containing a large concentration of boron. Forms when B2O3 reacts with silicon at high temperature.

Cassette: an open structure that holds one or more substrates. [SEMI E44-95]

Cleanroom: a confined area in which the humidity, temperature, particulate matter, and contamination are precisely controlled within specified parameters. The class of the cleanroom defines the maximum number of particles of 0.5- micrometer size or larger that may exist in one cubic foot of air in the designated area. For example, a class 1 cleanroom allows one such particle of any kind to exist in one cubic foot of space; a class 10 area may contain no more than 10 such particles in one cubic foot of space.

Coefficient of thermal expansion – CTE: the increase in length or volume of a solid, liquid, or gas for a rise of 1 degree C at constant pressure. This coefficient is used, along with the glass transition temperature, to determine the expansion characteristics of molding compounds used in the manufacture of semiconductor packages. Usually, the linear coefficient is used for packaging considerations.

Crystal: a solid composed of atoms, ions, or molecules arranged in a pattern that is periodic in three dimensions. [ASTM F1241]

Damage: 1: of a single-crystal silicon specimen, a defect of the crystal lattice in the form of irreversible deformation that results from mechanical surface treatments such as sawing, lapping, grinding, sandblasting, and shot peening at room temperature without subsequent heat treatments. [ASTM F1241] 2: any yield or reliability detractors other than those related to design, process specification violations, or particles.

Deep level impurity: a chemical element that, when introduced into a semiconductor, has an energy level (or levels) that lies on the midrange of the forbidden energy gap, between the energy levels of the dopant impurity species. [ASTM F1241]

Depletion layer: in a semiconductor, a region in which the charge-carrier charge density is not sufficient to neutralize the net fixed-charge density of donors and acceptors. [SEMI M1-94 and ASTM F1241] Also called barrier layer, blocking layer, and space-charge layer.

Design rules: rules that state the allowable dimensions of features used in the design and layout of integrated circuits; rules unique to a specific process technology (including limits for feature size, feature separation, layerto- layer overlap, and layer-to-layer feature separation).

Developer: 1: equipment that uses liquids to remove exposed positive resist from wafers or substrates. 2: the liquid used to remove exposed positive resist.

Dielectric constant: that property which determines the electrostatic energy stored per unit volume for unit potential gradient. The numerical value is usually given relative to a vacuum. (Copyright 1993 IEEE. All rights reserved.)

Diffusion: a high-temperature process in which desired chemicals (dopants) on a wafer are redistributed within the silicon to form a device component.

Diffusion pump: a vacuum pump that uses a stream of oil vapor to expel gases from the volume being evacuated and to create a high vacuum.

Dopant: in silicon technology, a chemical element incorporated in trace amounts in a semiconductor crystal or epitaxial layer to establish its conductivity type and resistivity. [Adapted from SEMI M9- 90 and M8-84]

Dopant density: in an uncompensated extrinsic semiconductor, the number of dopant impurity atoms per unit volume, usually given in atoms/cm3, although the SI unit is atoms/m3. Symbols ND for donor impurities and NA for acceptor impurities. [ASTM F1241]

Dust: 1: a discrete particle of material on a wafer or reticle, usually removable by the solvent cleaning method. 2: in flat panel display substrates, a foreign particle that contaminates the glass surface. [SEMI D9-94]

Edge bead 1: a residual resist that remains on the edge of a substrate after the application process. 2: a thin (3 mm) ring at the edge of the wafer in which photoresist is selectively removed by solvent or exposure.

Electromagnetic interference (EMI): any electrical signal in the nonionizing (suboptical) portion of the electromagnetic spectrum with the potential to cause an undesired response in electronic equipment. [SEMI E33-94]

Electron beam lithography: a direct write lithography technique using a beam of electrons to expose resist on a wafer.

Electrostatic discharge (ESD): 1: a sudden electric current flow, such as between a human body and a metal oxide semiconductor, with potential damage to the component. 2: the transfer of electrostatic charge between bodies at different electrostatic potentials. [SEMI E33-94]

Ellipsometer: equipment used to measure the thickness and refractive index of dielectric films.

Epitaxial layer: in semiconductor technology, a layer of a single crystal semiconducting material grown on a host substrate which determines its orientation. [SEMI M2-94 and ASTM F1241]

Epitaxy (epi): a silicon crystal layer grown on top of a silicon wafer that exhibits the same crystal structure orientation as the substrate wafer with a dissimilar doping type or concentration or both. Examples are p/p+, n/n+, n/p, and n/n.

Etch: a category of lithographic processes that remove material from selected areas of a die. Examples are nitride etch and oxide etch. 2: in the manufacture of silicon wafers, a solution, a mixture of solutions, or a mixture of gases that attacks the surfaces of a film or substrate, removing material either selectively or nonselectively. [SEMI M1- 94 and ASTM F1241]

Etchant: an acid or base (in either liquid or gaseous state) used to remove unprotected areas of a wafer layer. Examples are potassium hydroxide, buffered oxide etch, and sulfur hexafluoride.

Evaporation: an operation that uses heat and vacuum to remove a material from a source and deposit it on a surface. The deposition step of an evaporation operation is condensation.

Evaporator: a high-vacuum apparatus for evaporating materials.

Fab: the main manufacturing facility for processing semiconductor wafers.

Fault: 1: an accidental condition that causes a functional unit to fail to perform its required function. 2: a defect-causing out-of-spec operation of an integrated circuit.

Feature size: 1: the physical dimensions of an individual pattern element, usually expressed as minimum feature size. For example, a 0.8 micrometer process has a minimum gate dimension of 0.8 micrometer. 2: in surface characterization, any measurable threedimensional surface irregularity, not necessarily oriented to any particular reference plane.

Flat: on a semiconductor wafer, a portion of the periphery of a circular wafer that has been removed to a chord. [SEMI M1-94 and ASTM F1241]

Focal plane: the plane perpendicular to the optical axis of an imaging system that contains the focal point of the imaging system. [SEMI M1-94]

Four-point probe: an electrical probe arrangement for determining the resistivity of a material, in which separate pairs of contacts are used (1) for passing current through the specimen and (2) for measuring the potential drop caused by the current. [SEMI M1-94 and ASTM F1241] Also called collinear four-probe array.

Front end-of-line (FEOL): all processes from wafer start through final contact window processing.

G line exposure wavelength of 436 nanometers.

Gate oxide: a thin, high-quality silicon dioxide film that separates the gate electrode of a metal oxide semiconductor transistor from the electrically conducting channel in the silicon.

HAM – horizontal alignment mark: image located on right and left side of field used by stepper for local alignment.

Hard bake: heat treatment of a wafer after develop to fully harden the resist prior to etch.

Hexamethyldisilazane (HMDS): a chemical compound used as a preresist wafer treatment to improve adhesion of resist to wafers.

High-efficiency particulate air (HEPA) filter: a replaceable extended media, dry-type filter in a rigid frame and having a minimum particle-collection efficiency of 99.97% on all particles larger than 0.3 micrometer.

Horizontal furnace: a family of furnaces in which the wafers are loaded and processed in a horizontal tube.

HPP – hot point probe: doping type characterization tool, can be used to determine oxide etch completeness. Hydrofluoric acid (HF): a poisonous solution of hydrogen fluoride gas in water. This colorless, fuming liquid is extremely corrosive and will etch glass. Hydrofluoric acid is used to etch silicon dioxide and, when combined with other acids, silicon.

Hydrogen (H2): the lightest known gas; hydrogen vapors are highly flammable, colorless, odorless, tasteless, and nontoxic. Hydrogen is used as a means of providing a reducing atmosphere, as a carrier gas for epitaxial processes, and as a reagent to produce high-purity water. It sometimes is used in gas mixtures of fluorine-based plasma etchant for the processing of silicon dioxide film. [SEMI C3.4-88]

Hydrogen peroxide (H2O2): 1: a colorless, unstable compound, soluble in water and alcohol. [SEMI C1.9-90] 2: a compound used as a catalyst in many etch formulations, such as piranha.

I line: exposure wavelength of 365 nm.

Index of refraction: the relative index of refraction defined by Snell's law as the ration of the sine of the angle of incidence to the sine of the angle of refraction. [ASTM F1241]

Inert gas: a gas that, at ambient conditions, does not react chemically with other materials. [SEMI S4-92] Initial oxide the first silicon oxide layer grown on the surface of a silicon wafer before the first pattern step.

Inorganic: describes materials that do not contain carbon.

Integrated circuit (IC): 1: two or more interconnected circuit elements on a single die. 2: a fabrication technology that combines most of the components of a circuit on a single-crystal silicon wafer. [SEMI Materials, Vol. 3, Definitions for Semiconductor Materials].

Interconnect: 1: a highly conductive material, usually aluminum or polysilicon, that carries electrical signals to different parts of a die. 2: the wiring between elements on a die, package, or board. [1994 National Technology Roadmap for Semiconductors]

Interstitial: in a crystalline solid, an atom that is not located on a lattice site.

Junction spiking: the penetration of a junction by aluminum, which occurs when silicon near the junction dissolves in aluminum and migrates along the interconnect lines. Aluminum then replaces silicon at the junction.

Large scale integration (LSI): the placement of between 100 and 1000 active devices on a single die.

Lateral diffusion: diffusion parallel to the wafer surface. Lateral diffusion of metaloxide semiconductor source/regions determines the effective channel length of the device.

LCR meter – Inductance, capacitance, resistance meter: electrical characterization instrument used to determine capacitance and inductance of devices.

Linewidth: 1: in semiconductor technology, the distance between the air-line material boundaries at some specified height above the interface between the patterned layer in which the line is formed and the underlying layer. [SEMI P19-92] 2: a measurement with which to determine critical dimensions.

Linewidth, etched: a measurement of the etched feature produced on a wafer by transfer of the resist pattern into the wafer. Also called final inspect (F/I) and post etch.

Linewidth, PR: a measurement of the resist feature produced on a wafer during photo processing after the develop process. Also called develop/inspect (D/I) and preetch.

Lithography: a process in which a masked pattern is projected onto a photosensitive coating that covers a substrate. Also called photolithography.

Majority carrier: a type of charge carrier constituting more than one-half the total charge carrier concentration (for example, holes in p-type material). [SEMI M1-94 and ASTM F1241]

Mask: 1: a flat, transparent plate that contains the photographic image of wafer patterns necessary to define one process layer. 2: a selective barrier to the passage of radiation or matter. [ASTM F127-84] Also called etched metal mask or any specific mask type. Contrast photomask.

Mask level: a numbered mask in a sequence that includes device patterns, test patterns, and alignment patterns.

Mass flow controller (MFC): a selfcontained device (consisting of a transducer, control valve, and control and signal-processing electronics) commonly used in the semiconductor industry to measure and regulate the mass flow of gas. [SEMI E29-93]

Material safety data sheet (MSDS): 1: written or printed material concerning a hazardous material that is prepared in accordance with the provisions of 29 CFR 1910.1200. (See UFC "88" 9.115.) (Form OSHA 20) [SEMI S2-91] 2: the descriptive data provided on a data sheet recommended by the Occupational Safety and Health Administration (OSHA) to provide information regarding the hazards of materials to prevent and respond to emergency situations.

Medium scale integration (MSI): the placement of between 10 and 100 active devices on a single die.

Metallization: the deposition of a thin film of conductive metal onto a wafer or substrate by use of either chemical or physical vapor deposition (for example, sputtering).

Micrometer (µm): a metric unit of linear measure that equals 1/1,000,000 meter (10 exp(-6) m), or 10,000 angstroms. The diameter of a human hair is approximately 75 micrometers. Also called micron.

Minority carrier: a type of charge carrier constituting less than one-half of the total charge -carrier concentration (for example, electrons in p-type material). [SEMI M1-94 and ASTM F1241]

Misalignment: a process defect in which a pattern layer does not overlay properly with previous layers.

Nanometer (nm): one billionth (10 exp(-9)) of a meter; used in the measurement of the wavelength of light.

Nitric acid (HNO3): a strong, colorless or yellowish liquid oxidant that is highly corrosive and a potential fire hazard. This acid is prepared by the action of sulfuric acid on nitrates and by the oxidation of ammonia. Nitric acid is used to clean silicon wafers and etch metals. [SEMI C1.12-90]

Nitrogen (N2): normally a diatomic gas, but also a cryogenic liquid (a liquid at low temperature). Its vapors are odorless, tasteless, and nonflammable. Nitrogen is used in purging, blanketing, pressurizing systems, and cooling systems. It also is used as a carrier gas in chemical vapor deposition and at ambient temperature for sintering and annealing. [SEMI C3.5-88]

OAT – optical alignment target: image located on wafer used by stepper for global alignment.

Orientation: of a single crystal surface, the crystallographic plane, described in terms of its Miller indices, with which the surface is ideally coincident. NOTE-In semiconductor single crystals, where the surface of a wafer cut from the crystal usually corresponds closely (within a degree or several degrees) to a low index plane, such as a {100} or {111} plane, the surface orientation is frequently described in terms of the maximum angular deviation of the mechanically prepared surface from the low index crystallographic plane. [ASTM F1241]

Overlay (OVL): 1: the precision with which successive masks can be aligned with previous patterns on a silicon wafer. [1994 National Technology Roadmap for Semiconductors] 2: in semiconductor wafers and flat panel display substrates, a vector quantity defined at every point on the wafer or substrate. It is the difference, O, between the vector position, p1, of a substrate geometry, and the vector position of the corresponding point, p2, in an overlaying pattern, which may consist of photoresist. [Adapted from SEMI P18-92 and D8-94]

Overlay accuracy: relative deviation of pattern position between two masks. [SEMI P21-92]

Oxidation: a high-temperature chemical reaction in which the silicon of the wafer surface reacts with oxygen or water vapor to form an oxide such as silicon dioxide, typically at temperatures greater than 800 degrees C.

Oxide (Ox): a dielectric, or nonconducting film, grown or deposited on the surface of a wafer.

Oxide etch: an etch process in which unprotected areas of the oxide layer are eroded by use of a chemical to expose the underlying layer.

Oxygen (O2): a colorless, odorless, nontoxic, and oxidizing gas that supports combustion. Oxygen is used in the chemical vapor deposition of silicon dioxide, as a source for oxidation, as a reactant to produce high-purity water, and in plasma etching and stripping. [SEMI C3.22-88]

Particle: 1: a minute quantity of solid or liquid matter. Also see dirt. 2: in the manufacture of photolithographic pellicles, material that can be distinguished from the film, whether on the film surface or embedded in the film. [SEMI P5-94]

Particulate: 1: discrete particle of dirt or other material. [ASTM F1241] Also see dirt. 2 (dust): discrete particle of material that can usually be removed by (nonetching) cleaning. [SEMI M10-89] 3 describes material in small, discrete pieces; anything that is not a fiber and has an aspect ratio of less than 3 to 1. Examples are dusts, fumes, smokes, mists, and fogs.

Pattern, test: an image that appears on a photomask for registration or evaluation. [ASTM F127-84]

Photomask, negative: a photomask having an opaque background and transparent images. [ASTM F127-84]

Photomask, positive: a photomask having transparent background and opaque images. [ASTM F127-84]

Photoresist (PR): a radiation-sensitive material that, when properly applied to a variety of substrates and then properly exposed and developed, masks portions of the substrate with a high degree of integrity. [ASTM F127-84]

Piranha: a reactive etch solution composed of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2) to remove organic contaminants from a silicon wafer or a film such as SiO2.

Pitch: the distance between a point on an image and a point on the corresponding image in an adjacent functional pattern that lies in either a row or column on a photomask or reticle.

P2O5 – phosphorus pentoxide: volatile oxide used to transfer phosphorus to the wafer.

Polycrystalline silicon (poly): a nonporous form of silicon made up of randomly oriented crystallites or domains, including glassy or amorphous silicon layers. [ASTM F399-88] Also called poly and polysilicon.

Post-exposure bake (PEB): a bake after expose and prior to develop to diffuse the photoactive component of the resist; minimizes standing waves and ensures a clean develop.

Primary orientation flat: the flat of longest length on the wafer, oriented such that the chord is parallel with a specified low index crystal plane. [ASTM F1241] Also called major flat.

Prober: a piece of hardware that allows a collection of probes to be brought into contact with the die on a wafer for the purpose of testing an integrated circuit. [1994 National Technology Roadmap for Semiconductors]

Profilometer: 1: an instrument for measuring the topographical profile of a surface. [SEMI M1-94 and ASTM F1241] 2: An instrument for measuring the roughness of a surface by means of a diamond-pointed stylus attached to a coil in an electric field; movement of the stylus across the surface induces a current proportional to the surface roughness.

PSG – phosphosilicate glass: silicon dioxide containing a high concentration of phosphorus. Forms when P2O5 reacts with silicon at high temperature.

Pure water: water suitable for use in semiconductor processing because of the very small level of impurities. Resistivity in pure water is high because the conductive impurities are at a low level.

Pyrogenic steam: water vapor generated by combining hydrogen and oxygen in the furnace working chamber to produce high purity steam. [ASTM F1241]

Quartz carrier: a supporting structure that holds substrates during hightemperature operation. Also called boat.

Quartzware: containers made from amorphous material, which is resistant to high temperature. Examples are the furnace tube and the quartz carrier.

Relative humidity (RH): the quantity of water vapor present in the atmosphere as a percentage of the quantity that would saturate at the existing temperature.

Resistivity (ñ): 1: of a semiconductor, the ratio of the potential gradient parallel with the current in the material to the current density. Units are Ohm-cm . [SEMI M4-88] 2: the resistance that a unit volume of semiconductor material offers to the passage of electricity when the electric current is perpendicular to two parallel faces. [SEMI M1-94] 3 (electrical): the measure of difficulty with which charge carriers flow through a material. Resistivity is the reciprocal of conductivity. DISCUSSION-The resistivity of a semiconductor or other material is the ratio of the potential gradient (electronic field) parallel with the current to the current density. [ASTM F1241]

Resist lifting: on a wafer, the loss of adhesion of a resist coating to its substrate. [SEMI P3-90] Also called photo lifting.

Resolution: the fineness of detail revealed by an optical device. Resolution is usually specified as the minimum distance by which two lines in the object must be separated before they can be revealed as separate lines in the image. [ASTM E7-90]

Reticle: a very flat glass plate that contains the patterns to be reproduced on a wafer; the image may be equal to or larger than the final projected image. Typical reticle substrate material is quartz, and typical magnifications are 10, 5, and 1 times final size. The reticle is used in a stepper.

Reverse osmosis (RO): a technique used in desalination treatment. Pressure is applied to the saline solution, forcing pure water to pass from the solution through a membrane that will not pass the undesired ions.

Schottky barrier diodes: a semiconductor diode that is formed by contact between a semiconductor layer and a metal coating; it has a nonlinear rectifying characteristic. Hot carriers are emitted from the metal coating that is the diode base; since majority carriers predominate, there is essentially no injection or storage of minority carriers to limit switching speeds. Also known as a hot-carrier diode.

Secondary flat: a flat of length shorter than the primary orientation flat, whose position with respect to the primary orientation flat identifies the type and orientation of the wafer. DISCUSSIONIn some cases, one or more nonstandard "secondary" flats are specified to identify other attributes of the wafer. [ASTM F1241] Also called minor flat.

Sheet resistance (Rs) (Omega or Omega per square) of a semiconductor or thin metal film, the ratio of the potential gradient (electric field) parallel with the current to the product of the current density and thickness. [ASTM F1241] Single crystal silicon an arrangement of atoms in a solid that has perfect periodicity (that is, no defects).

Si:P2O7 – silicon pyrophosphate: stable solid source used for predeposition of phosphorus. During predeposition Si:P2O7 decomposes to a volatile compound to transfer phosphorus to the wafer.

Small scale integration (SSI): the placement of between 2 and 10 active devices on a single die.

Solvent: a substance capable of dissolving another substance, or substances, to form a solution. Examples are isopropyl alcohol, methyl alcohol, and xylene.

Solvent residue: 1: a type of dirt found on wafer surfaces after solvent evaporation from the surface. The residue either is left by the solvent itself or is material that the solvent has removed from the surface and redeposited. [ASTM F1241] 2: type of film found on wafer surfaces after solvent evaporation from the surface. [SEMI M10-89]

SPA – semiconductor parameter analyzer: electrical characterization instrument with multiple current/voltage sources and sweeps. Used to determine I-V characteristics of devices.

Spin: an operation in which a metered amount of resist is applied to a wafer while it is spinning; the operation in which a substrate is rotated about an axis perpendicular to its surface while, or immediately after, a coating material is applied in liquid form to the substrate surface.

Step and repeat: an operation that, by the use of a stepper, repeats the image over the wafer as the stage makes small steps in the X and Y axes. The operation dimensionally positions multiples of the same or intermixed functional patterns on a given area of a photoplate or a film by repetitions, contact printing, or projection printing of a single original pattern of each type.

Step coverage: the ratio of thickness of film along the walls of a step to the thickness of the film at the bottom of a step. Good step coverage reduces electromigration and high-resistance pathways.

Stepper: equipment used to transfer a reticle pattern onto a wafer.

Stripper: a chemical solvent used to remove resist film from wafers.

Stripping: an operation that completely removes a resist coating.

Sulfuric acid (H2SO4): a strong, poisonous, corrosive liquid that will mix with water and that will dissolve most metals. Sulfuric acid is used to clean wafers and to remove resist. [SEMI C1.16-90]

Throughput: the number of wafers per hour through a machine, assuming 100% equipment uptime and a fully loaded machine. The number is adjusted downward for any detracting factors one wants to consider (for example, downtime, setup time, idle time, etc.)

Torr: unit of measure for the pressure exerted by 1 mm of mercury, equal to 1/760th of standard atmospheric pressure; used to measure pressure in vacuum systems. The corresponding SI unit is the pascal (Pa).

Trapped charges: charges trapped either in the gate oxide or, in the case of a lightly doped drain (LDD) metal-oxide semiconductor field-effect transistor (MOSFET), in the spacer region. Trapped charges in the gate or the spacer lead to threshold voltage shift or to transconductance degradation, respectively.

Ultrapure water (UPW): deionized and filtered water.

Undercutting: the lateral etching into a substrate under a resistant coating, as at the edge of a resist image. [ASTM F127-84]

UV – ultraviolet light: invisible shortwavelength light used for exposing PR coated wafers in photolithography.

Vacuum: an absence of air or other gas.

Very large scale integration (VLSI): the placement of between 1,000 and 1,000,000 components on a die.

Wafer: in semiconductor technology, a thin slice with parallel faces cut from a semiconductor crystal. [ASTM F1241] Also called a slice.

Wafer carrier: 1: any vessel or supporting structure used to contain or transfer wafers during processing. 2: a device for holding a wafer for various processing steps in semiconductor manufacturing. [SEMI E1-86]

Wafer, dummy: a noncritical wafer added to a load-sensitive operation or run to complete a load of the equipment or process. Dummy wafers are never measured. Also called filler wafer.

Wafer flat: straight cuts on the side of a wafer; used to indicate the type of freecarrier conduction and orientation of the crystal surface. Also used to align the wafer during processing and scribing.

Wet chemical etch: a physical etch process that uses chemicals such as hydrofluoric acid to remove unprotected areas of a wafer layer.

Copyright © 2020 by Steel Data. All Rights Reserved.