Etchants (200 Recipes)

3D Charged Particle Trap - Dry Etching
Agua Regia - Si (111) and (100) Wafers - Chemical Cleaning
Al - ICP Etching - Dry Etching
Al0.28Ga0.72As - Wet Etching
Al2O3 Thin Film Deposition on InGaAsP/InP - Oxide, Passivation
Al2O3/TiO2 - Dry and Wet Etching
AlGaAs/GaAs - Wet Etching
AlN Single Crystal - Wet Etching
AlN Thin Film - Dry Etching
AlN, AlxGa1-xN, and GaN - Wet Etching
AlSb - Wet Etching
AlxGa(1-x)As from GaAs - Wet Etching
As2S3 - Wet Etching
BF3 Etchant - Si Single Crystal Spheres - Wet Etching
Beta-Ga2O3 - Dry Etching
Bi2Te3 - Wet Etching
Bulk Silicate Glass - Wet Etching
CdTe (111) Wafers - Wet Etching
CdTe Single Crystal - Wet Etching
CdTe Thin Film - Wet Etching
Chalcogenide Glasses (ChG)-Ge23Sb7S70 - Dry Etching
Chrome - Dry Etching
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/AlGaAs/GaAs - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/InAlAs/InP - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/InAlAs/InP - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InGaAs/InAlAs/InP - Wet Etchant by Chemical Composition
Citric Acid:H2O2 - InP - Wet Etchant by Chemical Composition
CoSi2 Contacts - Wet Etching
CoSi2/Si - Wet Etching
Comparison of Anisotropic Wet Etchants - Wet Etching
Conventional SiC RIE - Dry Etching
Cr - RIE Etching - Dry Etching
Cr Layer - Dry Etching
Cr:Al2O3 - Wet Etching
Defect Etching in Silicon - Wet Etching
Deposition/Etch of SOI - Dry Etching
Diamond Thin Film - Laser Etching
Dielectric Etching - RIE - Dry Etching
Dry Etching of Cr Hard Mask
Etchants for Insulators and Conductors - Wet Etching
Fe-Mo Alloy - Alloys with 12-20 at.% Mo
GaAs - Dry Etching
GaAs - Dry Etching
GaAs - Wet Etching
GaAs - Wet Etching
GaAs/AlGaAs - Dry Etching
GaN - ICP-RIE Dry Etching
GaSb (111) and (100) Wafers - Wet Etching
GaSb - Dry Etching
GaSb - Wet Etching
GaSb-Based Materials - Wet Etching
Ge (111) Wafers and Ingots - Wet Etching
Ge - Wet Etching
Ge - Wet Etching
GeS - Wet Etching
GeSe - Wet Etching
HgSe - Wet Etching
HgTe - Wet Etching
InAs/GaSb - Wet Etching
InGaAs InP - Wet Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAs from InAlAs - Dry Etching
InGaAsP - Dry and Wet Etching
InGaAsP/InP - Wet Etching
InGaN - Wet Etching
InP (100) - Wet Etching
InP - Surface Cleaning
InP - Surface Cleaning
InP - Surface Cleaning
InP - Surface Cleaning
InP - Surface Cleaning
InP - Wet Etching
InP - Wet Etching
InP - Wet Etching
InP - Wet Etching
InP - Wet Etching
InP - Wet Etching
InP Layer - Dry Etching
InP and InGaAsP - Wet Etching
InP/InGaAsP - Wet Etching
InSb - Dry Etching
InSb - Wet Etching
InSb Wafer - Wet Etching
InSb, GaSb, InAs - Electrolytic Etching
KOH:K2S2O8 - GaN - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaAlAs/GaAs - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaAlAs/GaAs - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaAs - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:K3Fe(CN)6 - GaP - Wet Etchant by Chemical Composition
KOH:Methanol - InP - Wet Etchant by Chemical Composition
KOH:NaOH - GaAs - Wet Etchant by Chemical Composition
KOH:NaOH - GaAs - Wet Etchant by Chemical Composition
LiNbO3, LN - Dry Etching
MC-Si Wafers - Wet Etching
Metal Plasma Etching - Dry Etching
Micromachined Wagon-Wheel Pattern - Silicon - Wet Etching
Molten Flux and Other Wet Etchants for SiC
Nano-Fabrication of 30 nm Size MTJ - Silicon - Dry Etching
Nb - Dry Etching
Nd:CaWO4 - Wet Etching
Optimization of the Etching Parameters of the Ion Milling System Nordiko 3600
PDMS - Dry Etching
PbTe and Pb(1-x)SnxTe - Wet Etching
Poly-Si Grown on (100) Silicon Substrates - Wet Etching
Quartz - Dry Etching
Quartz Wet Etching
SOP Silicon Etching TMAH - Wet Etching
Sb (0001) Wafers - Wet Etching
Sb (0001) Wafers Cleaved under LN2 - Chemical Polishing
Sc2O3 Thin Film - Wet Etching
Si (100) Wafers - Dry Etching
Si (100) Wafers - Dry Etching
Si (100) Wafers Unpassivated Surfaces or with SiO2 or TaSi2 Thin Films - Chemical Cleaning
Si (100) Wafers Used as Substrates with p-Doped and Undoped Poly - Si and SiO2 Thin Films - Dry Etching
Si (100) Wafers with Thermal SiO2 Thin Films - Dry Etching
1 Si (100) and (111) Wafers - Acid, Float-off
Si (100), n-Type, 4-7 Ohm cm Resistivity Wafers - Chemical Cleaning
Si (110) Wafers with a Thermally Grown SiO2 Thin Film - Wet Etching
Si (110), (112), and (113) Wafers for p-p+ Epitaxy - Chemical Cleaning
Si (111) Wafers - Wet Etching
Si (111) and (100) Wafers and Ingots - Alkali, Orientation
Si (111) and (110) Wafers - Wet Etching
Si (111) p- and n-Type Wafers, 8 Ohm cm Resistivity - Wet Etching
Si (111), p-Rype Wafers Used as Substrates for Tungsten Deposition - Chemical Cleaning
Si Single Crystal Hemispheres - Wet Etching
Si Single Crystal Spheres - Wet Etching
Si Single Crystal Spheres - Wet Etching
Si Single Crystal Spheres - Wet Etching
Si Single Crystal Spheres - Wet Etching
Si Wafer - Dry Etching
Si Wafer - Wet Etching
Si as a Pre-Cut Single Cystal Octahedron, (111) Form - Wet Etching
Si, Ge, and Ge:P - Dry Etching
SiNx Etch, (PR Mask) - Dry Etching
SiO2 Nanopillars - Dry Etching
SiO2 Wet Etching
SiO2, Si3N4, Si - Dry Etching
Silicon Oxide Etching Mechanism (ICP) - Dry Etching
Silicon Wet Etching
Silicon and Silicon Dioxide Etching - Dry Etching
Spin-On Carbon (SOC) - Dry Etching
Sub-Micrometer Trenches in Silicon - Dry Etching
Tantalum and Chromium E-beam Deposition and Wet Etch Testing
Tellurium Dioxide (TeO2) - Dry Etching
Ti, SiOx, Si3N4, W, SiC Etch Rates - Dry Etching
TiN - Wet Etching
TiN Metal Hardmask - Wet Etching
TiO2 Nanotube Synthesis - Wet Etching
Titanium for MEMS Applications - Dry Etching
Tungesten - Dry Etching
Wet Etching Recipes
Wet Etching of Molybdenum Films
Wet Etching of Silicon
Wet Etching of Silicon Dioxide
Wet-Chemical Etching and Cleaning of Silicon
X-Cut Alpha Quartz - Dry Etching
XPS Argon Sputter Etch Rates of SiO2 - Dry Etching
ZnO Nanotubes - Wet Etching
ZnO:Al Thin Film - Wet Etching
ZnSe - Wet Etching
ZnSe/GaAs - Wet Etching
ZrO2 Thin Film - Dry Etching

Copyright © 2020 by Steel Data. All Rights Reserved.